svn通过多次拖拉子文件实现export 代码的方法

svn通过多次拖拉子文件实现export 代码的方法

直接上代码:
#!/bin/bash
param=$1
path="http://192.168.1.233/svn/SPRD7731/trunk/MocorDroid6.0_Trunk_16b_rls1_W16.29.2"
rpath="http://192.168.1.233/svn/SPRD7731/trunk/MocorDroid6.0_Trunk_16b_rls1_W16.29.2_SUNVOV/S7358"


ROOT=`pwd`"/svn_info";


if [ ! -d "$ROOT" ];then
    mkdir $ROOT;
fi


function export1(){
    svn --force export ${path}/abi | tee svn_info/01.txt;
}
function export2(){
    svn --force export ${path}/art | tee svn_info/02.txt;
}
function export3(){
    svn --force export ${path}/bionic | tee svn_info/03.txt;
}
function export4(){
    svn --force export ${path}/bootable | tee svn_info/04.txt;
}
function export5(){
    svn --force export ${path}/build | tee svn_info/05.txt;
}
function export6(){
    svn --force export ${path}/cts | tee svn_info/06.txt;
}
function export7(){
    svn --force export ${path}/dalvik | tee svn_info/07.txt;
}
function export8(){
    svn --force export ${path}/developers | tee svn_info/08.txt;
}
function export9(){
    svn --force export ${path}/development | tee svn_info/09.txt;
}
function export10(){
    svn --force export ${path}/device | tee svn_info/10.txt;
}
function export11(){
    svn --force export ${path}/docs | tee svn_info/11.txt;
}
function export12(){
    svn --force export ${path}/external | tee svn_info/12.txt;
}
function export13(){
    svn --force export ${path}/frameworks | tee svn_info/13.txt;
}
function export14(){
    svn --force export ${path}/hardware | tee svn_info/14.txt;
}
function export15(){
    svn --force export ${path}/kernel | tee svn_info/15.txt;
}
function export16(){
    svn --force export ${path}/libcore | tee svn_info/16.txt;
}
function export17(){
    svn --force export ${path}/libnativehelper | tee svn_info/17.txt;
}
function export18(){
    svn --force export ${path}/ndk | tee svn_info/18.txt;
}
function export19(){
    svn --force export ${path}/packages | tee svn_info/19.txt;
}
function export20(){
    svn --force export ${path}/pdk | tee svn_info/20.txt;
}
function export21(){
    svn --force export ${path}/platform_testing | tee svn_info/21.txt;
}
function export22(){
    svn --force export ${path}/prebuilts | tee svn_info/22.txt;
}
function export23(){
    svn --force export ${path}/sdk | tee svn_info/23.txt;
}
function export24(){
    svn --force export ${path}/system | tee svn_info/24.txt;
}
function export25(){
    svn --force export ${path}/tools | tee svn_info/25.txt;
}
function export26(){
    svn --force export ${path}/sprdisk | tee svn_info/26.txt;
}
function export27(){
    svn --force export ${path}/vendor | tee svn_info/27.txt;
}


function export28(){
    svn --force export ${path}/u-boot64 | tee svn_info/25.txt;
}


function export29(){
    svn --force export ${path}/Modem | tee svn_info/25.txt;
}


function export30(){
    svn --force export ${path}/chipram | tee svn_info/25.txt;
}


if [ "$param" = "" ];then
    echo "-----------start to export all model-------------"    
    svn --force export ${path}/Makefile;
    for i in $(seq 30);
    do
        export$i;
    done
elif [ "$param" = "c" ];then
    echo "-----------start to check leave model-------------"       
        for i in `ls svn_info/`
        do
            echo "$i "`tail -n 1 svn_info/$i`;
        done
        echo "r1.txt "`tail -n 1 r1.txt`;
elif [ "$param" = "r" ];then
    echo "-----------start to export all resource-------------"    
    svn --force export ${rpath}/S7358_HWD/S7358_V6_HD_LM_D3 ./ | tee r1.txt;
elif [ "$param" = "ne" ];then
    echo "-----------start to new eng version -------------"    
    source build/envsetup.sh
    lunch 7
    make -j8 2>&1 |tee log.txt
elif [ "$param" = "nu" ];then
    echo "-----------start to new usr version -------------"    
    source build/envsetup.sh
    lunch 8
    make -j8 2>&1 |tee log.txt
else
    echo "-----------start to check $parm model-------------"          
    export$param
fi
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值