自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(22)
  • 资源 (3)
  • 收藏
  • 关注

原创 关于FPGA亚稳态的几点理解

当处理两个不同时钟域的信号时,我都会按部就班的将信号进行同步处理,即经过两级DFF,或者使用异步FIFO处理,但一直没有深究亚稳态到底是如何影响系统的。下面记录几个思考后的理解。 1、亚稳态的产生多数是因为输入信号不满足建立时间,导致DFF输出出现较长时间的震荡,当震荡完成时无法确定最终的稳定值。 疑问:既然无法确定稳定值,那么亚稳态的问题就无法根除,为何使用2级DFF可以极大减少亚稳态的影响呢? 2、亚稳态的主要影响,其实不在于其值...

2021-11-29 14:12:08 594

原创 Verilog中的有符号计算之认知补码

Verilog中的有符号计数,一般是自己定义的而不是像C语言之类的定义一个有符号变量就好了。所以,要想在FPGA的世界里随心所欲的进行有符号运算,必须先对补码有一个很好的认知,然后再注意Verilog中编程的几个特性,两者缺一不可。  对补码初步的认识:  1、正数的补码与源码相同,即正数的补码是其本身。  2、负数的补码,是对其源码(除符号位)取反再加一,于是得到其补码。  3、对负数的补码(除符号位)取反再加一,于是得到其源码。  4、正数的补码被定义为其本身,所以不需以上操作。(其

2021-07-29 14:00:06 889

转载 详解如何修改IAR工程文件名

copy byhttp://www.stmcu.org.cn/module/forum/thread-578295-1-1.html很多时候我们都为了图个方便,直接复制一份以前做过的项目,但是工程名却一直无法修改。我在网上寻找了很多,网上也给出很多方法,却一直不成功。不过现在终于解决这个问题了,在此为大家分享一下自己如何修改工程名。第一,将工程中后缀名为“dep,ewd,ewp,eww”的...

2019-09-26 13:33:43 569

原创 J-FLASH合并两个地址不同的bin文件,同时下载bootloader和程序,方便批量程序烧写

1、file-> open data file 选择boot文件 地址从0开始(一般为bootloader)2、file-> merge data file 选择bin文件 地址从程序开始执行地址开始 比如0x4000(一般为程序文件)3、file-> save data file as.. 保存合并后文件。下次写程序载入保存后的文件,从地址0开始写入即可。...

2019-06-18 13:20:32 1752

转载 开关电源PCB设计

【转载】http://blog.sina.com.cn/s/blog_5bdee3020102wwqr.html其实对于一个开关电源工程师而言 PCB的绘制其实是对一款产品的影响至关重要的部分,如果你不能很好的Layout的话,整个电源很有可能不能正常工作,最小问题也是稳波或者EMC过不去这是别人家的成品开关电源,模组,我会以这个电源模组的设计重点给大家讲一些点的。...

2019-05-30 16:17:31 4698 7

原创 【随记】K64+MQX ADC交流采样方式对相位的影响总结

1、周波64点连续采样,8通道循环,采用如下方式、、、、/***************************************************///PIT0中断服务程序: 3200Hz 片上ADC采样 每个通道采一次 WSX 2018-12-27/****************************************************/static v...

2019-04-25 10:27:03 468

转载 设计反激式开关电源变压器 清楚实用!

转载!!!! 原文地址:http://m.elecfans.com/article/607015.html引言反激式变压器是反激开关电源的核心,它决定了反激变换器一系列的重要参数,如占空比D,最大峰值电流,设计反激式变压器,就是要让反激式开关电源工作在一个合理的工作点上。这样可以让其的发热尽量小,对器件的磨损也尽量小。同样的芯片,同样的磁芯,若是变压器设计不合理,则整个开关电源的性能会有很...

2019-04-11 09:19:28 7384 2

转载 【转载】 集电极开路、漏极开路、上拉电阻、下拉电阻等接口相关基本概念

1.1.1 接口相关电路及概念1. 集电极开路输出在电路中常会遇到漏极开路(Open Drain)和集电极开路(Open Collector)两种情形。漏极开路电路概念中提到的“漏”是指 MOSFET的漏极。同理,集电极开路电路中的“集”就是指三极管的集电极。在数字电路中,分别简称OD门和OC门。典型的集电极开路电路如图所示。电路中右侧的三极管集电极什么都不接,所以叫做集电极开路,左侧...

2019-04-02 09:04:36 1957

转载 【转载】UART, SPI, IIC的详解及三者的区别和联系

1、UART, SPI, IIC的详解UART、SPI、IIC是经常用到的几个数据传输标准,下面分别总结一下:UART(Universal Asynchronous Receive Transmitter):也就是我们经常所说的串口,基本都用于调试。主机和从机至少要接三根线,RX、TX和GND。TX用于发送数据,RX用于接受数据(收发不是一根线,所以是全双工方式)。注意A和B通信A.T...

2018-12-09 20:05:16 225

转载 C语言指针详解

【转载】前言这不是我第一次写关于C指针的文章了,只是因为指针对于C来说太重要,而且随着自己编程经历越多,对指针的理解越多,因此有了本文。然而,想要全面理解指针,除了要对C语言有熟练的掌握外,还要有计算机硬件以及操作系统等方方面面的基本知识。所以我想通过一篇文章来尽可能的讲解指针,以对得起这个文章的标题吧。 为什么需要指针?指针解决了一些编程中基本的问题。第一,指针的使用使得...

2018-10-19 10:45:43 122

转载 转载:MQX任务同步(信号量)

 MQX提供了轻量级信号量(LWSems )、信号量和互斥功能。   你可以使用两种信号量实现任务同步与互斥操作。任务等待信号量,如果信号量为0 ,则 MQX阻塞该任务;否则,MQX降低信号量,并给该任务一信号量,该任务继续运行。如果带有该信号量的任务结束运行时,则它会传递信号量;任务保持就绪状态。如果任务正在等待信号量,MQX将该任务置入就绪队列;否则,MQX增加信号量。   你可以使用...

2018-10-16 16:09:37 672

转载 adc 采样时间 采样周期数 采样频率计算(以stm32为例)

ADC转换就是输入模拟的信号量,单片机转换成数字量。读取数字量必须等转换完成后,完成一个通道的读取叫做采样周期。采样周期一般来说=转换时间+读取时间。而转换时间=采样时间+12.5个时钟周期。采样时间是你通过寄存器告诉stm32采样模拟量的时间,设置越长越精确  一 STM32 ADC采样频率的确定1.       :先看一些资料,确定一下ADC的时钟:(1...

2018-10-12 15:30:11 23288 2

转载 k60内部AD模块

非原创 转自http://blog.chinaaet.com/jihceng0622/p/25675 作者jicheng0622,如有不便请通知删除1.Kinetis的ADC模块的一些特点(以K60为例),挑重要的说了,一些AD模块常见的特点就不提了,呵呵:(1)最高16位AD转换精度,逐次逼近型的,所以速度没问题,而且能做到如此精度已实属不易了,再往高估计就该换Σ-Δ的了;(2)最多...

2018-10-10 16:42:14 1778

转载 转载:MQX串口使用

该文章转自: https://blog.csdn.net/wangwenxue1989/article/details/49081337  若有不便本人将立即删除!串口最常用的两个功能就是查询方式发送数据和中断方式接收数据。在MQX该如何实现呢?以FRDM_K64f +Freescale_MQX_4_1_FRDMK64F 为例来说明。实现的功能是:1)UART0 用作printf...

2018-10-05 10:52:45 429

转载 PID相关解释和应用【转载:https://www.cnblogs.com/shangdawei/p/4825259.html】

形象解释PID算法小明接到这样一个任务:有一个水缸点漏水(而且漏水的速度还不一定固定不变),要求水面高度维持在某个位置,一旦发现水面高度低于要求位置,就要往水缸里加水。 小明接到任务后就一直守在水缸旁边,时间长就觉得无聊,就跑到房里看小说了,每30分钟来检查一次水面高度。水漏得太快,每次小明来检查时,水都快漏完了,离要求的高度相差很远,小明改为每3分钟来检查一次,结果每次来水都

2018-01-21 21:45:56 6042

转载 sql常用语句整理(包括增删改查)

(本文是参考w3c中的sql教程内容,再结合其他相关材料整理的)一、插入(复制)表数据1、INSERT INTO 语句(1)插入新的一行数据[sql] view plain copyINSERT INTO Persons VALUES ('Gates', 'Bill', 'Xuanwumen 10', 'Beiji

2017-08-18 20:34:33 247

转载 C# 连接SQL数据库以及操作数据库

1、概述ado.net提供了丰富的数据库操作,这些操作可以分为三个步骤:第一,使用SqlConnection对象连接数据库;第二,建立SqlCommand对象,负责SQL语句的执行和存储过程的调用;第三,对SQL或存储过程执行后返回的“结果”进行操作。对返回“结果”的操作可以分为两类:一是用SqlDataReader直接一行一行的读取数据集;二是DataSet联合S

2017-08-15 19:51:12 350

转载 .net学习路线(转载)

入门篇1.学习面向对象(OOP)的编程思想  许多高级语言都是面向对象的编程,.NET也不例外。如果您第一次接触面向对象的编程,就必须理解类、对象、字段、属性、方法和事件、封装、继承和多态性、重载、重写等概念。需要说明的是,微软的产品帮助文档做得非常完善,而且查询相当方便,入门者不得不看。安装完Visual Studio2010后,在“开始菜单->Microsoft Visual

2017-08-15 19:05:19 3429

转载 c# 如何获取项目的根目录

编写程序的时候,经常需要用的项目根目录。自己总结如下 1、取得控制台应用程序的根目录方法     方法1、Environment.CurrentDirectory 取得或设置当前工作目录的完整限定路径     方法2、AppDomain.CurrentDomain.BaseDirectory 获取基目录,它由程序集冲突解决程序用来探测程序集 2、取得Web应用程序的根目录方法 

2017-07-25 13:15:10 591

转载 C#读写txt文件的两种方法介绍 Copy:http://blog.csdn.net/ybhjx/article/details/53706715

1.添加命名空间  System.IO;  System.Text;2.文件的读取  (1).使用FileStream类进行文件的读取,并将它转换成char数组,然后输出。 byte[] byData = new byte[100]; char[] charData = new char[1000]; pub

2017-07-25 13:14:00 337

转载 C#一个字符串的加密与解密 Copy: http://www.cnblogs.com/wifi/articles/2482350.html

设计应用程序时,为了防止一些敏感信息的泄露,通常需要对这些信息进行加密。以用户的登录密码为例,如果密码以明文的形式存储在数据表中,很容易就会被人发现;相反,如果密码以密文的形式储存,即使别人从数据表中发现了密码,也是加密之后的密码,根本不能使用。通过对密码进行加密,能够极大地提高系统的保密性。1234567

2017-07-25 13:12:19 569

转载 matlab生成dll copy by scudz

实验室的一个项目需要调用matlab程序。经过再三考虑,决定使用vc调用matlab导出库的形式。而我主要负责与matlab程序结合的工作。以下是今天工作的简要总结。全当是个备忘吧。1:在matlab中选择compiler。     在命令行窗口输入:mex -setup      按照提示选择vc6.0.由于使用的是matlab7,不识别vs2010和2008,无奈有装的

2016-10-14 09:27:47 288

Verilog 按键消抖模块 可作为外部信号消抖模块使用

简单可靠的按键消抖模块 可作为外部信号消抖模块使用 按键按下开始20ms计数,期间有抖动都会使得计数重新开始,直到20ms计数完成输出有效

2023-06-19

FPGA 实现IRIG-B(DC)码解析设计 Verilog

输入:IRIG-B 码脉冲 输出:时间信息(每秒更新)、高精度秒脉冲(PPS,误差<500ns)、同步状态信号。 注意,时间信息每次解码完成更新,即与绝对时间相差1秒,如需补齐请自行处理。

2022-05-31

【黑金原创教程】6部Verilog原创教程.zip

非常适合初学Verilog HDL的工程师,观点独特,实用性较强。 包括:建模、时序、仿真内容。

2021-01-23

pscad 破解包

pscad的破解包,具体使用方法自行百度即可。可从student到pro edu

2016-01-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除