DE1-SoC初学者——PL部分编程实录——简单LED——连载01(图片上传失败,重新做图中...)

这篇博客介绍了DE1-SoC开发环境的配置,包括使用quartus II 13.1进行FPGA编程。作者通过一个简单的LED闪烁程序,演示了代码编写、引脚分配、编译生成sof文件以及如何正确下载到DE1-SoC中。在编程过程中,强调了由于SoC内含ARM核,需要特别的Programmer设置。教程最后指出DE1-SoC的开发与传统FPGA开发的区别,并预告后续将分为PL和PS两部分深入讲解。
摘要由CSDN通过智能技术生成

DE1-SoC开发必备工具:


开发环境:quartus II 13.1版本及以上(备注:13.0版本可以编译,但无法生成sof文件)

系统要求:windows 7 及以上,建议Windows 7 64 bit (13.1版本也是最后一个支持32bit的开发环境,后期版本只能运行在64bit系统)

开发过程:与FPGA开发过程类似,需要设置Devices等


代码:

module test(clk,led);

input clk;
output reg led;
reg [31:0] counter;

always@(posedge clk)
begin
if(counter=

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值