自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 资源 (4724)
  • 收藏
  • 关注

原创 mysql数据库的服务无法启动_Mysql数据库服务无法启动

重启服务器之后Mysql数据库无法启动服务器重启之后发现Navicat连接数据库连接不上如下提示:在服务器执行命令:systemctl restart mysqld.service提示错误:Job for mysqld.service failed because the control process exited with error code. See "systemctl status m...

2021-02-28 07:01:50 850

原创 mysql指定每日16点_数据库查询指定行的数据

各种不同数据库查询前几行/后几行的sql语句SqlServerselect top 10 * from tablename;Informixselect first 10 * from tablename;Oralceselect * from tablename where rownum<=10;MySqlselect * from tableName limit 10Sqlite中提供的...

2021-02-28 03:49:11 113

原创 java企业级开发期末考试_智慧职教moocJavaEE企业级网站开发期末考试大全答案

学校举行自然科学知识竞赛,抢答题的评分规则是答对一题加100分,答错一题扣10分.如果把加10分记作+10,那么扣在○里填上>、<或=.-8○0.1-9○-10○67-12○-13.计算:(-58)2÷1916-(118)×(-23)2.如果把平均成绩记为0分,-3分表示______,+12分表示______.在数轴上,表示-3的点在原点O的右边.______(判断对错)如果80m表示向东走了80...

2021-02-27 06:16:35 320

原创 java基础第七章异常_包子学系列——Java基础第七章_异常处理

第七章 异常处理Java程序在执行过程中所发生的异常事件可分为两类:Error:Java虚拟机无法解决的严重问题。如:JVM系统内部错误、资源 耗尽等严重情况。比如:StackOverflowError和OOM。一般不编写针对性 的代码进行处理Exception: 其它因编程错误或偶然的外在因素导致的一般性问题,可以使 用针对性的代码进行处理。例如数组角标越界空指针访问捕获错误最理想的是在编译期间...

2021-02-27 01:38:28 89

原创 Java如何判断用户已经登录_java如何做登录的判断?匿名、用户名、密码选中“匿名”,提交成 爱问知识人...

public class LoginServlet extends tp。HttpServlet implements rvlet。Servlet{//构造函数public LoginServlet(){super();}//服务器端的验证、提交处理protected void doPost(HttpServletRequest request,HttpServletResponse resp...

2021-02-27 00:06:59 163

原创 map java 例子_java中map使用例子与代码

java中map使用例子与代码。为一个购物车的列子import java.util.*;import java.io.*;public class ShoppingCart implements Serializable{/****/private static final long serialVersionUID = 1L;Map items = new HashMap();int numbe...

2021-02-26 14:28:44 99

原创 二进制时间java_Java JDBC 操作二进制数据、日期时间

二进制数据mysql提供了四种类型来存储二进制数据:TinyBlob 最多可存储255字节Blob 最多可存储65KBMediumBlob 最多可存储16MBLongBlob 最多可存储4GB1 //从properties文件中加载数据库配置2 Properties properties = newProperties();3 InputStre...

2021-02-25 15:19:38 126

原创 java 字符串处理流程_一文带你了解Java字符串处理(String,StringBuffer,StringBuild)...

前言本文篇幅较长,但都是满满的干货,请大家耐心观看,相信会有不小的收获。本人在总结的过程中也收获了很多的知识,也希望大家可以一起借鉴学习下,希望大家最后都能有所收获!再言字符串的分类在java.lang包中,定义了两个大类来表示字符串: String和 String Buffer(StringBuild)类,它封装了字符串的数据结构,并定义了许多方法来处理字符串。Java将字符串分为两类的目的是为...

2021-02-24 19:58:36 196

原创 java链式语法_Java通过Builder建造器实现链式操作

import java.util.ArrayList;import java.util.HashMap;import java.util.List;import java.util.Map;public final class RequestBuilder {private static final String PROCCOD = "PROCCOD";private static final S...

2021-02-24 12:56:45 195

原创 java state模式_《JAVA设计模式》中的状态模式State

状态模式,又称状态对象模式(Pattern of Objects for States),状态模式是对象的行为模式。状态模式允许一个对象在其内部状态改变的时候改变其行为。这个对象看上去就像是改变了它的类一样。状态模式的结构用一句话来表述,状态模式把所研究的对象的行为包装在不同的状态对象里,每一个状态对象都属于一个抽象状态类的一个子类。状态模式的意图是让一个对象在其内部状态改变的时候,其行为也随之改...

2021-02-24 10:29:48 113

原创 java inputstream创建_java代码----------实现创建DataInputStream和DataOutputStream进行读写...

package com.a.b;import java.io.*;public class testData {public static void main(String[] args) throws IOException {File newDir = new File("c:\\javas");if (!newDir.exists()) {newDir.mkdir();// 如果目录不存在,...

2021-02-24 02:14:22 334

原创 fibonacci数列java大赛_斐波那契数列 java 解法

这是一个常见问题,我们看看它有那些解法:1、迭代,虽然看起来笨笨的,但是实用效率高。适合初学者。public BigInteger fibonacci(int n) {BigInteger first = BigInteger.ZERO;BigInteger second = BigInteger.ONE;for (int i = 0; i < n; i++) {second = secon...

2021-02-23 14:31:30 115

原创 大整数加法java_大整数的加法java

姓名: 胡双兴 一:实验题目:大整数加法 学号:090610213 班级:090413 1 问题分析:处理多位整数的加法,这些整数无法在计算机硬件允许的范围内表示和处理。 2:数学......java自定义大数,并实现了两个大数的加减乘除以及比较,以及2~62进制任意数(包括但不限于正整数与负整数)的相互转化 java 自定义大数(进制可在 2~62 之间相互......c++大整数加减法,报告_...

2021-02-21 12:26:21 907

原创 java程序改错_java程序帮忙改错,谢谢

该楼层疑似违规已被系统折叠隐藏此楼查看此楼import java.awt.*;import java.awt.event.*;import javax.swing.*;public class JTextFieldDemo extends JFrame implements ActionListener{private Container content;private JLabel juser...

2021-02-21 07:35:39 123

原创 java 统计库_几个可用于数据挖掘和统计分析的java库

http://itindex.net/blog/2015/01/09/1420751820000.htmlWEKA:WEKA是一个可用于数据挖掘任务的机器学习算法集合。该算法可以直接应用到数据集或从自己的Java代码调用。 WEKA包含数据预处理,分类,回归,聚类,关联规则,和可视化工具。它也非常适用于开发新的机器学习方案。jmotif:时间序列、分类、数据挖掘开发库java-ml:Java机器学...

2021-02-20 21:58:03 1148

原创 梦魇java_死亡之屋-梦魇增值版

快速搜索机型:诺基亚 N70系列(176×208)7610 3230 6600 6260 6620 6630 6670 6680 6681 6682 N70 N72 ;松下: X700 X800 ;联想: P930诺基亚 N73系列(240×320)N73 5320 5320XM 5320di_XM 5630XM 5700 5700XM 5710XM 5730XM 6110 6110N 6120 ...

2021-02-20 03:23:30 727

原创 worldwind java demo_[转载]Worldwind Java – Jython example | 学步园

The worldwind java sdk has finally been released. It’s a neat SDK, well organized, easy to bring into Eclipse with some good examples to start hacking away.The only problem is the examples are writte...

2021-02-19 15:29:57 67

原创 java反射总结_Java反射总结

Java反射总结概述通常在Java代码中使用一个类,需要在编译时知道类的位置。但是在某些时候,需要使用的类在编译时是未知的,相关信息需要在运行时确定。此时就可以通过反射获得使用只有在运行时才能确定名称的类,完成创建类的对象,读写/成员,调用函数等操作。本文通过一系列的例子讲述反射常用的方法的使用,用于测试的类如下:package com.minghui.model;class Engineer e...

2021-02-19 04:43:03 151

原创 达内 java 文档_Java 7中对文件进行操作

import static java.nio.file.StandardCopyOption.*;Path source = Paths.get("C:\My Documents\Stuff.txt");Path target = Paths.get("D:\Backup\MyStuff.txt");Files.copy(source, target, REPLACE_EXISTING);这篇文件...

2021-02-19 03:09:44 112

原创 mysql主键数据类型更改_Sql语句更改字段类型及主键等实用整理

Sql语句更改字段类型及主键等实用整理挖客webmaster 发表于2008-6-1 15:45:56 来源:原创您现在正在浏览:首页 »Mssql数据库教程 评论如果数据量非常大,达到几百万条记录以上,使用企业管理器来更改字段类型,很多时候会超时,更改不成功,这时可以使用Sql语句来更改,如下:--更改字段类型长度alter table 表alter column 字段名 类型的长度--var...

2021-02-18 13:26:22 1097

原创 java重定向页面_SpringMVC页面重定向redirect

package com.igeek.ssm.ex.controller;import java.util.List;import org.springframework.beans.factory.annotation.Autowired;import org.springframework.stereotype.Controller;import org.springframework.ui.M...

2021-02-17 02:49:05 323

原创 java代码创建pdf_关于_java程序生成pdf文件

package com.demo;import java.awt.Insets;import java.io.ByteArrayOutputStream;import java.io.File;import java.io.FileOutputStream;import java.io.StringReader;import org.zefer.pd4ml.PD4Constants;import ...

2021-02-17 00:50:18 97

原创 android aio java_GitHub - Aiome/rxjava_for_android: Android platform on the use of Demo RxJava

rxjava_for_androidAndroid平台上使用RxJava的Demo感谢DevFactory的Mohamed Ezzat对代码的优化和建议...-----------------------------------------------------------1、Rxjava是什么(异步库、响应式编程)一个使用Java语言基于观察者模式拓展而来的高效异步库。2、Rxjava能做什么...

2021-02-16 18:04:40 85

原创 java 线程 防止_java并发之如何解决线程安全问题

并发(concurrency)一个并不陌生的词,简单来说,就是cpu在同一时刻执行多个任务。而Java并发则由多线程实现的。在jvm的世界里,线程就像不相干的平行空间,串行在虚拟机中。(当然这是比较笼统的说法,线程之间是可以交互的,他们也不一定是串行。)多线程的存在就是压榨cpu,提高程序性能,还能减少一定的设计复杂度(用现实的时间思维设计程序)。这么说来似乎线程就是传说中的银弹了,可事实告诉我们...

2021-02-16 16:05:57 277

原创 kafka 0.9 java开发_Kafka 0.9 java接口实例

解压tar-xzfkafka_2.11-0.9.0.0.tgzcdkafka_2.11-0.9.0.0启动单机版zookeeper,使用默认的配置文件。bin/zookeeper-server-start.shconfig/zookeeper.properties[2013-04-2215:01:37,495]INFOReadingconfigurationfrom:confi...

2021-02-16 15:54:15 145

原创 java thrift使用指南_Thrift使用指南

1. 内容概要本文档比较全面的介绍了thrift(关于thrift框架的介绍,参考我这篇文章:Thrift框架介绍)语法,代码生成结构和应用经验。本文主要讲述的对象是thrift文件,并未涉及其client和server的编写方法(关于client和server的编写方法,可参考我这篇文章:使用ThriftRPC编写程序)。2. 语法参考2.1 TypesThrift类型系统包括预定义基本类型,用...

2021-02-16 15:46:18 1186

原创 java zip文件操作_java文件操作zip压缩文件读取写操作

本文章讲了三个实例是利用java对zip 压缩文件的读写操作,实例如下。创建一个zip压缩文件 import java.io.fileinputstream;import java.io.fileoutputstream;import java.util.zip.zipentry;import java.util.zip.zipoutputstream;public class main {pu...

2021-02-16 03:57:12 200

原创 ioinc页面加载前绑定_配合angularjs中interceptor一劳永逸的加载$ionicloading的方法

在我们日常的项目开发中,每当页面需要和服务端存在交互的时候,为了界面的友好,我们都会在界面中给个loading的加载图标,当从服务端获取到数据或者已经把本地数据送到服务端并且得到相应的回应的时候我们就会隐藏刚刚的加载图标。但是之前我们通常的做法都是在每一页面对应的controller中注入$ionicLoading,当发送请求的时候调用$ionicLoading.show();当完成与服务端的交互...

2021-02-16 00:41:04 102

原创 java连连看解说_java—连连看-实现消除

实现消除1、Chess.javapackage Linkup;/*** 棋子封装类** @author laixl**/public class Chess {// 图片的 状态 //1.....20// 0表示消掉private int status;public Chess(int status) {this.status = status;}public int getStatus() {r...

2021-02-13 10:05:01 240

原创 mongodb java多表查询语句_java操作mongodb之多表联查($lookup)

最近在开发的过程中,一个列表的查询,涉及到了多表的关联查询,由于持久层使用的是mongodb,对这个非关系型数据使用的不是很多,所以在实现此功能的过程中出现了不少问题,现在此做记录,一为加深自己的理解,以后遇到此类问题可以快速的解决,二为遇到同样问题的小伙伴提供一点小小的帮助。全文分为两部分:使用robo3t编写多表关系的查询语句将编写的查询语句整合到java项目多表联查的查询语句:此处使用的为m...

2021-02-12 23:35:12 899

原创 java 存储返回 数组_java调用oralc存储过程返回数组

CREATE TYPE t_arr AS OBJECT(id NUMBER ,name varchar2(20));CREATE type t_arr_re as table of t_arr;create or replace procedure test_array(v_cfjg out t_arr_re) isbeginDECLAREi number;v_res_fun pu...

2021-02-12 22:27:18 142

原创 java线程挂掉_Java线程停止的坑

复杂点的Java应用一般都会开多个线程在后台跑程序,有时候因为发布或其他原因需要停止程序,那就涉及到如何停止Java线程的问题.Java 的线程停止还是比较别扭的,如果是从其他语言转学java,很容易掉进坑里面.一 坑一 利用线程的stop方法如果初学Java,在看到Thread类有个stop方法,那第一反应停止应该用stop吧。在好些的IDE里面会给你提示,此方法已经被标记为:@Deprecat...

2021-02-12 22:09:45 800

原创 java面试逻辑题_精选程序员面试常问的逻辑题

前言大家在面试的时候,难免会遇到让人摸不着头脑的逻辑题,这类题目让同学们往往连答案应该回答些什么都摸不清楚,只能和面试官四目相对,非常尴尬。其实,很多面试的考官,都是从题库随机挑选逻辑题来考验同学们,面试官有时候自己也未必完全摸透这类题目,所以面试的时候不必过于紧张,就算答不出来啊也非常正常。在我的理解中,这类题目主要还是考大家的思路,至于答案标准与否,其实不是特别重要。本文总结了面试中我自己面试...

2021-02-12 10:24:41 1352

原创 java 批量下载_java批量下载

最近做了一些有关批量压缩下载的功能,网上也找了一些资源,但都不是太全面,所以自己整理一份,已备不时之需。直接上代码:// 获取项目路径private static String WEBCLASS_PATH = Thread.currentThread().getContextClassLoader().getResource("").getPath();// 获取webinf路径private s...

2021-02-12 09:34:57 742

原创 mysql having count( 1 ) >1_mongodb使用aggregate、group、match实现mysql中的having(count(1)>1)的功能...

关系型数据库中分组去重一般都是group by … having(count(1)>1)…赛选出来重复的记录组,然后一条sql搞定,但是在mongodb里面,没有这么方便了,需要自己写脚本来实现,可以通过aggregate、group、match来操作处理。1,准备录入测试数据db.stu.insert({cid:1,age:14,name:'gom1'});db.stu.insert({c...

2021-02-07 08:26:11 2082

原创 MySQL经典多表查询试题_mysql多表查询练习题(答案)

1、查询所有的课程的名称以及对应的任课老师姓名SELECTcourse.cname,teacher.tnameFROMcourseINNER JOIN teacher ON course.teacher_id=teacher.tid;2、查询学生表中男女生各有多少人SELECTgender,count(sid)FROMstudentGROUP BYgender;3、查询物理成绩等于100的学生的姓...

2021-02-04 19:08:31 1696

原创 python返回错误提示_python web开发中对大量参数的校验(返回自定义错误提示)...

@(学无止境)python web开发中对大量参数的校验(返回自定义错误提示)安装schemapip install schema校验创建账号的参数name: string 由7—15个字母、数字、下划线组成phone: string 11位手机号education: int 1:primary school; 2: junior high school; 3: High school; 4: b...

2021-02-04 16:22:04 329

原创 概述计算机网络五层原理体系结构中各层的功能_Python网络概述:协议与体系结构的运用...

网络中存在多台主机,为保证主机间能顺利通讯,且通信双方可以获取到准确、有效的数据,应制订一组用于数据传输的规则,这组规则就是协议。协议需要预先制定,同时,为确保网络通讯过程中对各种事件的应对能“有法可依”,协议应面面俱到。但网络间的通信需要经历复杂的过程,一段复杂过程中的各项操作会出现各种各样的结果,为复杂过程的多种结果也会是复杂的。为了简化协议,人们考虑按照通信过程中各项工作的性质,将工作分为不...

2021-02-04 08:40:40 2962

原创 python封装函数编程教学_Python函数式编程指南(一):函数式编程概述

1. 函数式编程概述1.1. 什么是函数式编程?函数式编程使用一系列的函数解决问题。函数仅接受输入并产生输出,不包含任何能影响产生输出的内部状态。任何情况下,使用相同的参数调用函数始终能产生同样的结果。在一个函数式的程序中,输入的数据“流过”一系列的函数,每一个函数根据它的输入产生输出。函数式风格避免编写有“边界效应”(side effects)的函数:修改内部状态,或者是其他无法反应在输出上的变...

2021-02-03 23:47:11 112

原创 python svm算法 cifar_基于SVM的思想做CIFAR-10图像分类

SVM回顾一下之前的SVM,找到一个间隔最大的函数,使得正负样本离该函数是最远的,是否最远不是看哪个点离函数最远,而是找到一个离函数最近的点看他是不是和该分割函数离的最近的。使用large margin来regularization。线性分类线性SVM就是一种线性分类的方法。输入,输出,每一个样本的权重是,偏置项bias是。得分函数算出这么多个类别,哪一个类别的分数高,那就是哪个类别。比如要做的图...

2021-02-03 00:43:03 976

以上版本对应-数值方法(matlab版.第四版)-mathews

*1. Ver.1.10以上版本对应 *2. Ver.2.20以上版本对应 功能扩展板[FX1S·FX1N用] M8112 FX1N-4EX-BD: BX0的输入 - - - - - - ○ ○ - - - FX1N-2AD-BD: 通道1的输入模式切换 - - - - - D8112 ○ ○ - - - M8113 FX1N-4EX-BD: BX1的输入 - - - - - - ○ ○ - - - FX1N-2AD-BD: 通道2的输入模式切换 - - - - - D8113 ○ ○ - - - M8114 FX1N-4EX-BD: BX2的输入 - - - - - - ○ ○ - - - FX1N-1DA-BD: 输出模式的切换 - - - - - D8114 ○ ○ - - - M8115 FX1N-4EX-BD: BX3的输入 - - - - - - ○ ○ - - - M8116 FX1N-2EYT-BD: BY0的输出 - - - - - - ○ ○ - - - M8117 FX1N-2EYT-BD: BY1的输出 - - - - - - ○ ○ - - - [M]8118 不可以使用 - - - - - - - - - - - [M]8119 - - - - - - - - - - - RS(FNC 80)·计算机链接[通道1](详细内容请参考通信控制手册) [M]8120 不可以使用 - - - - - - - - - - - [M]8121*3 RS(FNC 80)指令 发送待机标志位 ○ ○ ○ ○ ○ - ○ ○ ○ ○ ○ M8122*3 RS(FNC 80)指令 发送请求 ○ ○ ○ ○ ○ D8122 ○ ○ ○ ○ ○ M8123*3 RS(FNC 80)指令 接收结束标志位 ○ ○ ○ ○ ○ D8123 ○ ○ ○ ○ ○ [M]8124 RS(FNC 80)指令 载波的检测标志位 ○ ○ ○ ○ ○ - ○ ○ ○ ○ ○ [M]8125 不可以使用 - - - - - - - - - - - [M]8126 计算机链接[通道1] 全局ON ○ ○ ○ ○ ○ D8127 D8128 D8129 ○ ○ ○ ○ ○ [M]8127 计算机链接[通道1] 下位通信请求(ON Demand)发送中 ○ ○ ○ ○ ○ - - - ○ ○ M8128 计算机链接[通道1] 下位通信请求(ON Demand)错误标志位 ○ ○ ○ ○ ○ ○ ○ ○ ○ ○ M8129 计算机链接[通道1] 下位通信请求(ON Demand)字/字节的切换 RS(FNC 80)指令 判断超时的标志位 ○ ○ ○ ○ ○ ○ ○ ○ ○ ○ *3. 从RUN→STOP时,或是RS指令OFF时清除 编号·名称 动作·功能 适用机型 FX3S FX3G FX3GC FX3U FX3UC 对应特殊 软元件 FX1S FX1N FX1NC FX2N FX2NC829

2021-07-12

变量的表示和声明-abb acs510 变频器中文使用说明书

4.1 变量的表示和声明 变量可以用来表示一个数值,一个字符串值或一个数组等。CoDeSys 将变量的数据类型分为了 标准数据类型、扩展数据类型及自定义数据类型三大类。 4.1.1 变量 变量是保存在存储器中待处理的抽象数据,是为了识别 PLC 的输入/输出、PLC 内部的存储区 域而使用的名称,可以代替物理地址在程序中的使用。 可以根据需要随时改变变量中所存储的数据值。在程序执行过程中,变量的值可以发生变化。 使用变量之前必须先声明变量,及指定变量的类型和名称。变量具有名称,类型和值。变量的数据 类型确定它所代表的内存大小和类型。变量名即指在程序源代码中的标识符。 4.1.2 标识符 标识符就是变量的名称。在定义标识符时,根据 IEC 61131-3 标准,必须由字母、数字和下划 线字符组成。此外,含应遵循如下规则:  标识符的首字母必须是字母或下环线,最后一个字符必须是字母或数字,中间允许字母、数字、 下划线。  标识符中不区分字母的大小写。  下划线是标识符的一部分,但标识符中不允许有两个或两个以上连续的下划线。  不得含有空格 例如 ab_c、AB_de 和_AbC 是允许的标识符,而 1abc、 __abc 和 a__bc 均不允许。 4.1.3 变量声明 变量声明就是指定变量的名称、类型和赋初始值,变量的声明非常重要,未经声明的变量是不 能通过编译的,所以也无法在程序中使用。用户可以在程序组织单元(POU)、全局变量列表 (GVL)和自动声明对话框中进行变量的声明。在 CoDeSys 中变量声明分为两类,普通变量声明 和直接变量。 1) 普通变量声明 最常用的变量声明,不需要和硬件外设或通讯进行关联的变量,仅供项目内部逻辑使用。普通 声明须符合以下规则: : {:=}; {}中为可选部分。 如 nTest:BOOL;,nTest:BOOL:=TRUE; 2) 直接变量声明

2021-07-12

过程时序模型-labview模块和工具包(嵌入式设计)

一、门级时序模型 Verilog 门级时序模型主要适用于分析所有的连续赋值语句、过程连续赋值语句、门原 语和用户自定义原语等。该模型的特点是,任意时刻、任意输入发生变化,门示例都将重新 计算其输出,如果输出有变化,那么以后很可能会产生一个新的事件。所有的仿真模型都对 输入变化敏感,而这种变化又将导致仿真模型的执行。 门级时序模型的另一个特征是关于新事件的调度。假设存在一个门级时序模型,同时该 模型产生的一个事件己被调度但尚未执行,如果事件的结果将导致一个新事件产生,那么仿 真调度器就会撤销对先前事件的调度,转而调度新事件。所以 Verilog 的门级模型具有惯性 延时的特性,它刚好比传输延时要长。 门级时序模型非常精确地模拟了电路中的惯性延时。 二、过程时序模型 Verilog 过程时序模型并不像门级时序模型那样,它不是对任何时刻的任何变化都敏 感,它的敏感性依赖于控制的 k下文 (Context)。一般来说, initial 和 always 语句只对输入 的一个子集敏感,这种敏感性是随着仿真执行的时间而改变的。因此,这些敏感元素是根据 行为模型的当前执行部分来确定的。比如, always 语句对@符号后面括号中的变量敏感。 过程时序模型的另一个特征是关于事件调度方式的。假设一个寄存器的一个更新事件已 经被调度,如果再调度同一个寄存器的另一个更新事件,即使在同一时刻,前一个事件也不 会被取消。因此,→个实体(如寄存器)的事件列表中可能有多个事件,如果同时有几个更 新事件,那么它们的执行顺序是不确定的。注意,这与门级时序模型不同,在门级时序模型 中,针对同一个实体,其输出的新事件将取代先前己调度但尚未执行的事件。 模型仿真时可以有交织,这种交织可以通过使用两种 Verilog 时序模型来建立。事实 上,根据输入敏感元(敏感表中的变量),过程时序模型可以建立门级时序模型所能建立的 模型。-般来说,这两种时序模型定义了语言中的两大类元件,门级时序模塑主要用于对组 合逻辑建模,而过程时序模型主要用于对时序逻辑建模。 8.5.3 案例分析 下面通过一个实例,进-步说明时序模型的楠念。 笔者在仿真一个逻辑的过程中,为了模拟 El 信号在长距离传输过程中的延时,在 Testbench 的顶层链接中使用了如下语法: 208

2021-07-12

功能描述-python+pyqt5的子线程更新ui界面的实例

5.2 功能描述 Ethernet 模块有如下功能特点: � 提供 1 个 Ethernet MAC。 � 支持 10Mbit/s 或 100Mbit/s 速率。 � 可工作在全双工或半双工模式。 � 支持 RMII 接口。 � 支持半双工模式下的碰撞回退重传和 late collision。 � 支持全双工模式下的流控帧的发送。 � 支持帧长有效性检测,丢弃超长帧和超短帧。 � 支持对输入帧进行 CRC 校验,可丢弃校验错的帧。 � 支持对输出帧添加 CRC 校验。 � 支持短帧填充功能。 � 支持端口全双工模式下的外环回。 � 提供自适应功能。 � 提供 MDIO 接口,MDIO 接口时钟频率可调整。 � 提供 64 个接收和发送共用的帧管理队列。 � 提供流量限制功能,防止针对 CPU 的流量攻击。 � 支持对接收和发送帧进行统计计数。 � 支持 8 个可配置的 DMAC 地址过滤表。 � 对广播帧、组播帧和单播帧支持可配置是否转发或者丢弃。 初 稿 , 仅 供 参 考 !

2021-07-12

介绍的频率替换的思想该问-840dsl五轴应用调试包

256 第五章 统计量及其分布 出鱼塘里大概有 nm/k 条鱼,这就是我们在第六章介绍的频率替换的思想.该问 题中总体为鱼塘里所有的鱼,而样本为一天后从鱼塘里打捞出的鱼,主要观测其 是否有记号. 5. 某厂生产的电容器的使用寿命服从指数分布,为了解其平均寿命,从中 抽出 n 件产品测其实际使用寿命,试说明什么是总体,什么是样本,并指出样本 的分布. 解 总体是该厂生产的电容器的寿命全体,或者可以说总体是指数分布, 其分布为 Exp( λ ); 样本是该厂中抽出的 n 个电容器的寿命; 记第 i个电容器的寿命为叭,则 x, - Exp ( λ ) , i=l,2 , …爪,样本( x , ,…,xn) 的分布为 n A e - As; = λn e -A’,其中 t = x, +…+篇,. 6. 美国某高校根据毕业生返校情况记录,宣布该校毕业生的年平均工资为 5 万美元,你对此有何评论? 解 毕业生返校记录是全体毕业生中的一个特殊群体(子总体)的一个样 本,它只能反映该子总体的特征,不能反映全体毕业生的状况,故此说法有骗人 之嫌. 狲宽阔题a僻答 7. 设有 N个产品,其中有M个次品.进行放回抽样. 定义 x, 如下 : ’ 布 川 | 阳 分 : 合 为 1 8 联 列 的 布 zn 分 ·, 的 · ’ 体 问 总 z 本 解 样求 第 i 次取得次品, 第 4 次取得正品 P(X = 1) = 号,P(X =的= 1--%- 也可以写成 t M\ '1 M、 I-• P(X=x)=f - 1 fl - - } , x=O,l. \NI 飞 NI 因此样本 x, ,吨,…,xn 的联合分布列为 古 IM\ •• , M\ I -町 i M、 V M、川 P(元, , x,' … ,x ) =川 f-1 II- - \ =l - l It - - 1 , x . =0,l, . . . t; ~飞 N I \ NI 飞 NI \ NI ’,, 其中 t = 叫+ … + xn. 8. 设离散总体的分布列为

2021-07-12

连接的网络是否正常?-academicwriting3rdedanswerkey

(1) 连接的网络是否正常? (2) License Manager 是否为正常激活状态? (3) CDS_LIC_FILE 变量是否设定正确?

2021-07-12

中起泡排序-sae j1772-2017

第1章 绪讳 §1.2 复杂度度量 9 1.2.2 渐进复杂度 至此,对于同一问题的两个算法A和B,通过比较其时间复杂度TA(n)和TB(n),即可评价二 者对于同一输入规模n的计算效率高低。然而,藉此还不足以就其性能优劣做出总体性的评判, 比如对于某些问题,一些算法更适用于小规模输入,而另一些则相反(习题[1-5])。 幸运的是,在评价算法运行效率时,我们往往可以忽略其处理小规模问题时的能力差异,转 而关注其在处理更大规模问题时的表现。其中的原因不难理解,小规模问题所需的处理时间本来 就相对更少,故此时不同算法的实际效率差异并不明显;而在处理更大规模的问题时,效率的些 许差异都将对实际执行效果产生巨大的影响。这种着眼长远、更为注重时间复杂度的总体变化趋 势和增长速度的策略与方法,即所谓的渐进分析(asymptotic analysis)。 那么,针对足够大的输入规模n,算法执行时间T(n)的渐进增长速度,应如何度量和评价呢?  大O记号 同样地出于保守的估计,我们首先关注T(n)的渐进上界。为此可引入所谓“大O记号” (big-O notation)。具体地,若存在正的常数c和函数f(n),使得对任何n >> 2都有 T(n)  c∙f(n) 则可认为在n足够大之后,f(n)给出了T(n)增长速度的一个渐进上界。此时,记之为: T(n) = O(f(n)) 由这一定义,可导出大O记号的以下性质: (1) 对于任一常数c > 0,有O(f(n)) = O(c∙f(n)) (2) 对于任意常数a > b > 0,有O(n a + n b ) = O(n a ) 前一性质意味着,在大O记号的意义下,函数各项正的常系数可以忽略并等同于1。后一性 质则意味着,多项式中的低次项均可忽略,只需保留最高次项。可以看出,大O记号的这些性质 的确体现了对函数总体渐进增长趋势的关注和刻画。  环境差异 在实际环境中直接测得的执行时间T(n),虽不失为衡量算法性能的一种指标,但作为评判 不同算法性能优劣的标准,其可信度值得推敲。事实上,即便是同一算法、同一输入,在不同的 硬件平台上、不同的操作系统中甚至不同的时间,所需要的计算时间都不尽相同。因此,有必要 按照超脱于具体硬件平台和软件环境的某一客观标准,来度量算法的时间复杂度,并进而评价不 同算法的效率差异。  基本操作 一种自然且可行的解决办法是,将时间复杂度理解为算法中各条指令的执行时间之和。在图 灵机(Turing Machine, TM)和随机存储机(Random Access Machine, RAM)等计算模型 [4] 中,指令语句均可分解为若干次基本操作,比如算术运算、比较、分支、子程序调用与返回等; 而在大多数实际的计算环境中,每一次这类基本操作都可在常数时间内完成。 如此,不妨将T(n)定义为算法所执行基本操作的总次数。也就是说,T(n)决定于组成算法 的所有语句各自的执行次数,以及其中所含基本操作的数目。以代码1.1中起泡排序 bubblesort1A()算法为例,若将该算法处理长度为n的序列所需的时间记作T(n),则按照上述 分析,只需统计出该算法所执行基本操作的总次数,即可确定T(n)的上界。

2021-07-12

使用字符串-基于滑膜控制理论的永磁同步电机的控制方法及系统

5.15 使用字符串 字符串链 设计时也可以使用字符串,以动态配置文本显示或者合并代码生成的不同文本。 规则 使用字符串变量时注意以下规定: ● 链接由左向右处理。 ● 层叠的表达式由内向外运算。 ● 忽略大小写。 ● 字符串变量通常左对齐显示。 字符串可以通过一个简单的空字符串指令删除。 字符串在等号右边以运算符 "<<"开始。 字符串中双引号(")通过两个连续的双引号标记。 字符串可以在 IF 指令中检查相等性。 示例 下列示例预设: VAR1.VAL =“这是一个” VAR8.VAL = 4 VAR14.VAL = 15 VAR2.VAL = “错误” $85001 = “这是一个” $85002 = “报警文本” 变量 5.15 使用字符串 SINUMERIK Integrate Run MyScreens(BE2) 94 编程手册, 10/2015, 6FC5397-1DP40-5RA3

2021-07-12

TCP的成块数据流使用225_下载-深信服scsa认证考试总题库

第20章 TCP的成块数据流使用225 下载

2021-07-12

资源记录-深信服scsa认证考试总题库

14.6 资源记录 至今我们已经见到了一些不同类型的资源记录(R R):I P地址查询为A类型,指针查询为类型 P T R。也已看到了由名字服务器返回的资源记录:回答R R、授权R R和附加信息R R。现有大约2 0种 不同类型的资源记录,下面将介绍其中的一些。另外,随着时间的推移,会加入更多类型的R R。 A 一个A记录定义了一个 I P地址,它存储32 bit的二进制数。 P T R 指针记录用于指针查询。 I P地址被看作是 i n - a d d r . a r p a域下的一个域名 (标识符串)。 C N A M E 这表示“规范名字 (canonical name)”。它用来表示一个域名(标识符串),而 有规范名字的域名通常被称为别名 ( a l i a s )。某些F T P服务器使用它向其他的系 统提供一个易于记忆的别名。 例如,g a t e d服务器(1 0 . 3节提到)可通过匿名F T P从g a t e d . c o r n e l l . e d u 获得,但这里并没有叫做g a t e d的系统,这仅是为其他系统提供的别名。其他 系统的规范名为g a t e d . c o r n e l l . e d u。 sun % host -t cname gated.cornell.edu gated.cornell.edu CNAM COMET.CIT.CORNELL.EDU 这里使用的- t选项来指明它是特定的查询类型。 H I N F O 表示主机信息:包括说明主机 C P U和操作系统的两个字符串。并非所有的站 点均提供它们系统的H I N F O记录,并且提供的信息也可能不是最新的。 sun % host -t hinfo sun sun.tuc.noao.edu HINFO Sun-4/25 Sun4.1.3 M X 邮件交换记录,用于以下一些场合:(1)一个没有连到 I n t e r n e t的站点能将一个 连到I n t e r n e t的站点作为它的邮件交换器。这两个站点能够用一种交替的方式交 换到达的邮件,而通常使用的协议是U U C P协议。(2)M X记录提供了一种将无 法到达其目的主机的邮件传送到一个替代主机的方式。(3)M X记录允许机构 提供供他人发送邮件的虚拟主机,如c s . u n i v e r s i t y . e d u,即使这样的主机 名根本不存在。(4)防火墙网关能使用M X记录来限制外界与内部系统的连接。 许多不能与 I n t e r n e t连接的站点通过 U U C P链路与一个连接在 I n t e r n e t上的站点 如U U N E T相连接。通过M X记录能使用u s e r @ h o s t这种邮件地址向那个站点 发送电子邮件。例如,一个假想的域 f o o . c o m可能有下面的M X记录: 152使用TCP/IP详解,卷1:协议 下载

2021-07-12

局域网输出-深信服scsa认证考试总题库

8.3 局域网输出 现在已经做好运行 Tr a c e r o u t e程序并观察其输出的准备了。我们将使用从 s v r 4到s l i p, 经路由器b s d i的简单互联网(见内封面)。b s d i和s l i p之间是9600 b/s的S L I P链路。 输出的第1个无标号行给出了目的主机名和其 I P地址,指出 t r a c e r o u t e程序最大的T T L字段 值为3 0。4 0字节的数据报包含2 0字节I P首部、8字节的U D P首部和1 2字节的用户数据(1 2字节 的用户数据包含每发一个数据报就加 1的序列号,送出T T L的副本以及发送数据报的时间)。 输出的后面两行以T T L开始,接下来是主机或路由器名以及其 I P地址。对于每个T T L值,发 送3份数据报。每接收到一份 I C M P报文,就计算并打印出往返时间。如果在 5秒种内仍未收到3 份数据报的任意一份的响应,则打印一个星号,并发送下一份数据报。在上述输出结果中, T T L字段为1的前3份数据报的I C M P报文分别在20 ms、10 ms和10 ms收到。T T L字段为2的3份数 72使用TCP/IP详解,卷1:协议 下载

2021-07-12

TCP/IP的分层-深信服scsa认证考试总题库

1.3 TCP/IP的分层 在T C P / I P协议族中,有很多种协议。图 1 - 4给出了本书将要讨论的其他协议。 图1-4 TCP/IP协议族中不同层次的协议 4使用TCP/IP详解,卷1:协议 下载 用户 进程 用户 进程 用户 进程 用户 进程 应用层 运输层 网络层 链路层 硬件 接口 媒体

2021-07-12

滑差补偿-安川伺服驱动说明书.

V/f 控制(矢量控制) 6.2 滑差补偿 驱动功能 功能手册, (FH1), 04/2014, 6SL3097-4AB00-0RP4 281 6.2 滑差补偿 使用转差补偿可使异步电机的转速设定值 n设定 保持恒定,不受负载影响。 在负载从 M1 向 M2 跃变时会自动提升设定频率,从而使产生的频率以及电机转速保持恒定。 负载从 M2 向 M1 恢复时会相应地自动减小设定频率。 在使用电机抱闸时可通过 p1351 在转差补偿的输出上给定设置值。 设置参数 p1351 > 0 会自动激活转差补偿(p1335 = 100 %)。 图 6-5 转差补偿 重要参数一览(参见 SINAMICS S120/S150 参数手册) • r0330[0...n] 电机额定转差 • p1334[0...n] V/f 控制转差补偿的初始频率 • p1335[0...n] 转差补偿比例系数 • p1335 = 0.0 %: 禁用转差补偿。 • p1335 = 100.0 %: 进行完全转差补偿。 • p1336[0...n] 转差补偿限值 • r1337 转差补偿实际值

2021-07-11

lock结构变量取值-java解析jt808协议的实现代码

表 6.7 lock结构变量取值 F_RDLCK:读取锁(共享锁) F_WRLCK:写入锁(排斥锁) l_type F_UNLCK:解锁 l_stat 相对位移量(字节) SEEK_SET:当前位置为文件的开头,新位置为偏移量的大小 SEEK_CUR:当前位置为文件指针的位置,新位置为当前位置加上偏移量 l_whence:相对位移 量的起点(同 lseek 的 whence)。 SEEK_END:当前位置为文件的结尾,新位置为文件的大小加上偏移量的大小 l_len 加锁区域的长度 小技巧 为加锁整个文件,通常的方法是将 l_start 说明为 0,l_whence说明为 SEEK_SET,l_len说明 为 0。 (3)fcntl使用实例 下面首先给出了使用 fcntl函数的文件记录锁函数。在该函数中,首先给 flock结构体的 对应位赋予相应的值。接着使用两次 fcntl函数分别用于给相关文件上锁和判断文件是否可以 上锁,这里用到的 cmd值分别为 F_SETLK和 F_GETLK。 这个函数的源代码如下所示:

2021-07-11

定时器0的时钟输出脚-mysql高可用方案大全

T0CLKO BIT P3.5 //定时器0的时钟输出脚 F38_4KHz EQU 0FF10H //38.4KHz(1T模式下, 65536-18432000/2/38400) //F38_4KHz EQU 0FFECH //38.4KHz(12T模式下,(65536-18432000/2/12/38400) //-----------------------------------------------

2021-07-11

基本采样算法-effective akka

11.1 基本采样算法 本节中,我们研究从⼀个给定的概率分布中⽣成随机样本的⼀些简单的⽅法。由于样本是通 过计算机算法⽣成的,因此这些样本实际上是伪随机数(pseudo-random numbers),也就是 说,它们通过计算的⽅法确定,但是仍然会通过随机性的检测。⽣成这种数字会产⽣⼀些微妙 的性质(Press et al., 1992),不在本书的讨论范围内。这⾥,我们假定算法⽣成的是(0, 1)之间 均匀分布的伪随机数,事实上⼤部分软件开发环境都有这种功能。 11.1.1 标准概率分布 授信,我们考虑如何从简单的⾮均匀分布中⽣成随机数,假定我们已经有了⼀个均匀分布 的随机数的来源。假设z在区间(0, 1)上均匀分布,我们使⽤某个函数f(·)对z的值进⾏变换, 即y = f(z)。y上的概率分布为 p(y) = p(z) ∣∣∣∣dzdy ∣∣∣∣ (11.5) 其中,在这种情况下,p(z) = 1。我们的⽬标是选择⼀个函数f(z)使得产⽣出的y值具有某种所 需的具体的分布形式p(y),对公式(11.5)进⾏积分,我们有 z = h(y) ≡ ∫ y −∞ p(ŷ) dŷ (11.6) 它是p(y)的不定积分。因此,y = h−1(z),因此我们必须使⽤⼀个函数来对这个均匀分布的随机 数进⾏变换,这个函数是所求的概率分布的不定积分的反函数,如图11.2所⽰。 考虑指数分布(exponential distribution) p(y) = λ exp(−λy) (11.7) 359

2021-07-11

固定基函数的局限性-effective akka

3.6 固定基函数的局限性 在本章中,我们已经关注了由固定的⾮线性基函数的线性组合组成的模型。我们已经看到, 对于参数的线性性质的假设产⽣了⼀系列有⽤的性质,包括最⼩平⽅问题的解析解,以及容易 计算的贝叶斯⽅法。此外,对于⼀个合适的基函数的选择,我们可以建⽴输⼊向量到⽬标值之 间的任意⾮线性映射。在下⼀章中,我们会研究类似的⽤于分类的模型。 因此,似乎这样的模型建⽴的解决模式识别问题的通⽤框架。不幸的是,线性模型有⼀些重 要的局限性,这使得我们在后续的章节中要转⽽关注更加复杂的模型,例如⽀持向量机和神经 ⽹络。 困难的产⽣主要是因为我们假设了基函数在观测到任何数据之前就被固定了下来,⽽这正是 1.4节讨论的维度灾难问题的⼀个表现形式。结果,基函数的数量随着输⼊空间的维度D迅速增 长,通常是指数⽅式的增长。 幸运的是,真实数据集有两个性质,可以帮助我们缓解这个问题。第⼀,数据向量{xn}通 常位于⼀个⾮线性流形内部。由于输⼊变量之间的相关性,这个流形本⾝的维度⼩于输⼊空间 的维度。我们将在第12章中讨论⼿写数字识别时给出⼀个例⼦来说明这⼀点。如果我们使⽤局 部基函数,那么我们可以让基函数只分布在输⼊空间中包含数据的区域。这种⽅法被⽤在径向 基函数⽹络中,也被⽤在⽀持向量机和相关向量机当中。神经⽹络模型使⽤可调节的基函数, 这些基函数有着sigmoid⾮线性的性质。神经⽹络可以通过调节参数,使得在输⼊空间的区域中 基函数会按照数据流形发⽣变化。第⼆,⽬标变量可能只依赖于数据流形中的少量可能的⽅ 向。利⽤这个性质,神经⽹络可以通过选择输⼊空间中基函数产⽣响应的⽅向。 3.7 练习 (3.1)(*)证明,双曲正切函数与公式(3.6)定义的logistic sigmoid函数的关系为 tanh(a) = 2σ(2a)− 1 (3.100) 这也就能够证明,logistic sigmoid函数的⼀个⼀般的线性组合 y(x,w) = w0 + M∑ j=1 wjσ ( x− µj s ) (3.101) 126

2021-07-11

初始化/应用信息-思科视频下载集合

49.5初始化/应用信息 这一节将描述如何初始化DSPI模块。 49.5.1如何管理DSPI队列 这些队列并不属于DSPI,但DSPI包括支持队列管理的特点。队列主要是支持SPI配置。 1.当DSPI执行最后控制命令, EOQ位在控制命令被设置表明在DSPI中这是最后一个实 体。 2.在发送的最后,相应的控制命令与EOQ设置被采样,SR中EOQ标志(EOQF)被设置。 3.设置EOQF标志不能串行发送和接收数据,置DSPI在STOPPED状态。TXRXS位清零 表明在STOPPED状态。 4.DMA 可以继续填充 TX FIFO 直到满或第 5 步发生。 5.禁用DSPI DMA发送通过禁用DMA使能请求DMA通道,使DMA通道被分配给TX FIFO 和 RX FIFO。完成这个通过清除DMA控制器中相应的DMA使能要求位。 6.确定所有RX FIFO接收的数据发送到存储接收队列通过读SR的RXCNT或检查SR中 RFDF在每一个POPR读操作后。 7.位新队列修改DMA描述TX和RX描述符 8.通过写1到MCR的CLR_TXF位清除TX FIFO。通过写1到MCR的CLR_RXF清除RX FIFO。 9.清除发送计数通过设置CTCNT位在新队列第一个实体的控制命令字或由CPU直接在 TCR SPI_TCNT写入。 10.使能DMA通道通过使能DMA请求,使DMA通道分配给DSPI TX FIFO和 RX FIFO 通过设置相应的DMA设置使能请求位。 11. 使能串行发送和串行接收可以通过清除EOQF位。 49.5.2主机和从机切换模式 当在DSPI改变模式,按照下面的步骤,以保证正常工作。 1.通过设置MCR[HALT]停止DSP。

2021-07-11

分析算法-orb-slam3: an accurate open-source library for visual visual-inertial and m

5.2.3 LR(0)分析算法 现在开始讲述L R ( 0 )分析算法。由于该算法取决于要了解项目集合的 D FA的当前状态,所 以须修改分析栈以使不但能存储符号而且还能存储状态数。这是通过在压入一个符号之后再将 新的状态数压入到分析栈中完成的。实际上,状态本身就包含了有关符号的所有信息,所以可 完全将符号省掉而只在分析栈中保存状态数。但是为了方便和清晰起见,我们仍将在栈中保留 了符号。 为了能开始分析,我们将底标记 $和开始状态 0压入到栈中,所以分析在开始时的状况表 示为: 分 析 栈 输 入 $ 0 InputString $ 现在假设下一步是将记号n 移进到栈中并进入到状态 2 (当D FA如在图5 - 4中所示一样,且n 是输入中的下一个记号时,结果就是这样的 )。表示如下: 分 析 栈 输 入 $ 0 n 2 InputString $ 的剩余部分 第 5章 自底向上的分析 1 5 7 下载

2021-07-11

经受时延的确认-高校正版授权endnote x9下载、安装及使用详细教程

19.3 经受时延的确认 在图1 9 - 2中有一些与本节将要论及的时间有关的细微之处。图 1 9 - 3表示了图1 9 - 2中数据交 换的时间系列(在该时间系列中,去掉了所有的窗口通告,并增加了一个记号来表明正在传 输何种数据)。 把从b s d i发送到s r v 4的7个A C K标记为经受时延的A C K。通常T C P在接收到数据时并不 立即发送A C K;相反,它推迟发送,以便将 A C K与需要沿该方向发送的数据一起发送(有时 称这种现象为数据捎带A C K)。绝大多数实现采用的时延为 200 ms,也就是说,T C P将以最大 200 ms的时延等待是否有数据一起发送。 如果观察b s d i接收到数据和发送A C K之间的时间差,就会发现它们似乎是随机的: 1 2 3 . 5、 下载

2021-07-11

查看记录-(华为入门教程)verilog hdl 华为入门教程

22.4 查看记录 用户可能要查看所有记录或其中一部分。如果查看所有记录,使用 c a t命令和 a w k,如果 记录包含很多域,那么很有必要定量显示输出结果,使其对用户更加实用。 在删除和修改记录中,已经讲过了怎样显示单一记录,用户有选择的查看记录选项时唯 一增加的功能就是打印一个记录。以下脚本段将记录发往打印机: 270 第四部分 基础s h e l l编程 下载

2021-07-11

汉字显示原理简介-gbase sql参考手册

46.1 汉字显示原理简介 常用的汉字内码系统有 GB2312,GB13000,GBK,BIG5(繁体)等几种,其中 GB2312 支持的汉字仅有几千个,很多时候不够用,而 GBK 内码不仅完全兼容 GB2312,还支持了繁体 字,总汉字数有 2 万多个,完全能满足我们一般应用的要求。 本实例我们将制作一个 GBK 字库,制作好的字库放在 SD 卡里面,然后通过 SD 卡,将字 库文件复制到外部 FLASH 芯片 W25Q64 里,这样,W25Q64 就相当于一个汉字字库芯片了。 汉字在液晶上的显示原理与前面显示字符的是一样的。汉字在液晶上的显示其实就是一些 点的显示与不显示,这就相当于我们的笔一样,有笔经过的地方就画出来,没经过的地方就不 画。所以要显示汉字,我们首先要知道汉字的点阵数据,这些数据可以由专门的软件来生成。 只要知道了一个汉字点阵的生成方法,那么我们在程序里面就可以把这个点阵数据解析成一个 汉字。 知道显示了一个汉字,就可以推及整个汉字库了。汉字在各种文件里面的存储不是以点阵 数据的形式存储的(否则那占用的空间就太大了),而是以内码的形式存储的,就是 GB2312/GBK/BIG5 等这几种的一种,每个汉字对应着一个内码,在知道了内码之后再去字库 里面查找这个汉字的点阵数据,然后在液晶上显示出来。这个过程我们是看不到,但是计算机 是要去执行的。 单片机要显示汉字也与此类似:汉字内码(GBK/GB2312)查找点阵库解析显示。 所以只要我们有了整个汉字库的点阵,就可以把电脑上的文本信息在单片机上显示出来了。 这里我们要解决的最大问题就是制作一个与汉字内码对得上号的汉字点阵库。而且要方便单片 机的查找。每个 GBK 码由 2 个字节组成,第一个字节为 0X81~0XFE,第二个字节分为两部分, 一是 0X40~0X7E,二是 0X80~0XFE。其中与 GB2312 相同的区域,字完全相同。 我们把第一个字节代表的意义称为区,那么 GBK 里面总共有 126 个区(0XFE-0X81+1), 每个区内有 190 个汉字(0XFE-0X80+0X7E-0X40+2),总共就有 126*190=23940 个汉字。我 们的点阵库只要按照这个编码规则从 0X8140 开始,逐一建立,每个区的点阵大小为每个汉字 所用的字节数*190。这样,我们就可以得到在这个字库里面定位汉字的方法: 当 GBKL<0X7F 时:Hp=((GBKH-0x81)*190+GBKL-0X40)*(size*2); 当 GBKL>0X80 时:Hp=((GBKH-0x81)*190+GBKL-0X41)*(size*2); 其中 GBKH、GBKL 分别代表 GBK 的第一个字节和第二个字节(也就是高位和低位),size 代表汉字字体的大小(比如 16 字体,12 字体等),Hp 则为对应汉字点阵数据在字库里面的起 始地址(假设是从 0 开始存放)。 这样我们只要得到了汉字的 GBK 码,就可以显示这个汉字了。从而实现汉字在液晶上的 显示。 上一章,我们提到要用 cc936.c,以支持长文件名,但是 cc936.c 文件里面的两个数组太大 了(172KB),直接刷在单片机里面,太占用 flash 了,所以我们必须把这两个数组存放在外部 flash。cc936 里面包含的两个数组 oem2uni 和 uni2oem 存放 unicode 和 gbk 的互相转换对照表, 这两个数组很大,这里我们利用 ALIENTEK 提供的一个 C 语言数组转 BIN(二进制)的软件: C2B 转换助手 V1.1.exe,将这两个数组转为 BIN 文件,我们将这两个数组拷贝出来存放为一个 新的文本文件,假设为 UNIGBK.TXT,然后用 C2B 转换助手打开这个文本文件,如图 46.1.1 所示:

2021-07-11

交换端口配置-关于matlab的svm工具箱的几个函数

7.2 交换端口配置 7.2.1 实验目的 通过对交换机上交换端口的 一些基本配置,掌握端口配置 上相关内容。其中包括接口进 入方式 ,速率和双工,以及端口 错误状态的查看以及 自动恢复的配置 。 7.2.2 实验拓扑 本次实验由 两台 交换机完成,两台交换机使用两 段线缆进行连接。 7.2.3 实验步骤 1. 要选择交换 机的某个接口, 可以采用如下命令: 如果要选择交换 机的某些 接口, 即一些接口 范围,可以采用下面的命令: 下面在 SW1上对上述 命令进行 演示: SW1(config)#interface f0/1 SW1(config-if)# SW1(config)#interface range f0/1 - 3 , f0/24 SW1(config-if-range)# 2. 如果需要经常使用的某些 范围的接口, 我们可以对该范围 接口 定义一个 macro(即 脚本),那么当调用时则直接调用该 macro 即可。 接口 macro 的配置步骤分 为两步: l 首先在 全局下定义一个接口范围 macro,其命令如 下:

2021-07-11

制定项目管理计划-深入浅出mips

13.1识别 干系人 启动会议 分发项目章程 任命项目经理 宣告项目正式启动 华夏智诚项目管理专家认证培训 145 4.2 制定项目管理计划 制定 项目管理计划 规 划 各 领 域 管 理 制 定 各 领 域 计 划 整 合 各 领 域 计 划 目的: 准备和协调所有子计划,并把它们整合为 一份综合项目管理计划。 主要作用: 生成一份核心文件,作为所有项目工作的 依据。 华夏智诚项目管理专家认证培训 146

2021-07-11

键盘串行协议-如何解读统计图表:研究报告阅读指南

图 3.4 键盘扫描码 图 3.5 键盘串行协议 3.12.3 实例详解 本实例的具体的实验步骤可参见 2.6 节,在此不再详述,仅给出主要的操作流程。 (1)启动 ISE 软件。 (2)创建新工程。 (3)编写键盘串行协议。 按照图 3.5 的时序编写相应的 Verilog 代码,具体源代码参见实例代码。 (4)添加设计输入。 将编写好的接口协议加载至工程中,完成接口控制与系统的对接。 (5)设置器件及管脚约束。 按照开发板的说明进行相关的设置。 (6)下载验证。 下载程序后,将键盘接至开发板上,通过开发板的 LCD 可以看到键盘输入的字符。 3.12.4 参考设计 本实例相关参考设计文件在本书实例代码的典型实例 4 文件夹。 3.13 典型实例 5:交通灯控制器 3.13.1 实例的内容及目标 1.实例的主要训练内容 本实例通过 Verilog HDL 语言设计一个简易的交通等控制器,实现一个具有两个方向、共 8 个灯并具有时 间倒计时功能的交通灯功能。 2.实例目标

2021-07-11

对象内部-matlab从零到进阶

(2) 对象内部 (3) (处理) (4) (误差) (5) 总和 亵 15.22 'l'方和 EZTι 1 户 an ann ..2 三;;14-352子 cl _:2 _:2z EL L "n 栩减,行 (2)- 行 (3) αn ..:2 z 艺 Y;j -;古 i=lj=l n-1 n(α-1) α-1 (α -1)(n-1) aπ-1 方 MSitll =节严 MSE = , __~e:_π Fo 苦挣 15.5 思 考 题 '15.1 重新考虑思考题5.22 中的实'在用 Box-Cox 法确定,在该实验数据的分析中,对响应进行变换是 否恰当(或有用)? '15.2 在例 6.3 中,我们选择了对钻头推进速率响应做对数交换用Box-Cox 法说明这是恰当的数据变换. 15.3 重新考虑思考题8.24 中的烙炼工序实验,该实验用一个26 -3 分式析因设计研究烘烤后粘在阳极上 的粘贴材料的重量.对设计的8 次试验的每一次重复3 次,每个试验组合的蠢蠢的平均值与极差作 为响应变量.是否有迹象表明需要对各响应变量做交换? 15.4息考题 8.25 中-个重复分式析因设计用于研究半导体制造中的基层的弯曲度.基层的弯曲度测量 值的均值与标准差作为响应变量,是否有迹象表明简要对各响应变量做变换? 15.5 重新考虑思考题8.26 中的光亮j胶实验.把每个试验组合的光刻胶厚度的方差作为响应变量是否有 迹象表明情要对各响应变量做交换9

2021-07-11

所示的是来自这-matlab从零到进阶

所述, Design-Expert 软件包包含了更小的分辨度为V 的二水平设计.表 12.4所示的是来自这 设计的选择12.5 有 7 个园子、 30 次试验的分辨度为 V 的设计 C D E F + + G 一 + - + - + + - + - + - + - - + + ~ + + - + + + 一 + + + + +-+-+- 一 + - + + + + - + - + - 一 + + - + + - 衰 12.4 B + + + + + A +-++++- -+-+-++-+-+- + + +-+-+++++++ +++ -++++-++++ +++-+- + + 一 + + - + + + 一 + - + + + - + + + - + + 一 + + + - + -+++-+- -+++++ 个软件包的有 7 个因子的设计,需要 30 次试验.这个设计可容纳 7 个因子,它们可以是可按变 量与噪声变量的任意组合,该设计可以估计所有主效应和二园子交互效应. 有时可以用只有很少试验点的设计.例如,假设有 3 个可控变量 (A, B, C) 和 4 个噪声变 量 (D , E , F , G) 要估计可控变量的主效应和二因子交互效应 (6 个参数)、噪声变量的主效应 (4 个参数),以及可控变量与噪声变量之间的交互效应 (12 个参数).包括截距项在内共需估计

2021-07-11

单色呼吸灯实验-sql server 数据库基本操作语句总结

42.4 单色呼吸灯实验 首先以单色呼吸灯工程为例,其核心的驱动代码分别位于 bsp_breathing.c 和 bsp_breathing.h 文件中,可根据应用需要移植这些文件。 42.4.1 编程要点 1) 初始化 PWM 输出通道,初始化 PWM 工作模式; 2) 计算获取 PWM 数据表; 3) 编写中断服务函数,在中断服务函数根据 PWM 数据表切换比较寄存器的值; 42.4.2 代码分析 1. LED 灯硬件相关宏定义 为方便迁移代码适应其它硬件设计,实验中把硬件相关的部分使用宏定义到 bsp_breathing.h 文件中,使用不同硬件设计时,修改该文件即可,见代码清单 42-1。 代码清单 42-1 硬件相关宏定义(bsp_breathing.h 文件) 1 2 #define RED_LIGHT 1 3 #define GREEN_LIGHT 2 4 #define BLUE_LIGHT 3 5 6 /*要使用什么颜色的呼吸灯,可选 RED_LIGHT、GREEN_LIGHT、BLUE_LIGHT*/ 7 #define LIGHT_COLOR RED_LIGHT 8 9 /********************定时器通道**************************/ 10 #if LIGHT_COLOR == RED_LIGHT 11 /************红灯***************/ 12 #define BRE_TIMx TIM3 13 14 #define BRE_TIM_APBxClock_FUN RCC_APB1PeriphClockCmd 15 #define BRE_TIM_CLK RCC_APB1Periph_TIM3 16 #define BRE_TIM_GPIO_APBxClock_FUN RCC_APB2PeriphClockCmd 17 #define BRE_TIM_GPIO_CLK (RCC_APB2Periph_GPIOB|RCC_APB2Periph_AFIO) 18 19 //红灯的引脚需要重映射 20 #define BRE_GPIO_REMAP_FUN() GPIO_PinRemapConfig(GPIO_PartialRemap_TIM3, ENABLE); 21 22 #define BRE_TIM_LED_PORT GPIOB 23 #define BRE_TIM_LED_PIN GPIO_Pin_5 24 25 #define BRE_TIM_OCxInit TIM_OC2Init //通道选择,1~4 26 #define BRE_TIM_OCxPreloadConfig TIM_OC2PreloadConfig 27 #define BRE_CCRx CCR2 28 29 #define BRE_TIMx_IRQn TIM3_IRQn //中断 30 #define BRE_TIMx_IRQHandler TIM3_IRQHandler

2021-07-11

软件定时器实验-keb变频器 f5中文说明书-维修安装调试

15.1 软件定时器简介 15.2 定时器服务/Daemon 任务 15.3 单次定时器和周期定时器 15.4 复位软件定时器 15.5 创建软件定时器 15.6 开启软件定时器 15.7 停止软件定时器 15.8 软件定时器实验

2021-07-11

队列创建-keb变频器 f5中文说明书-维修安装调试

13.3 队列创建 13.3.1 函数原型 在使用队列之前必须先创建队列,有两种创建队列的方法,一种是静态的,使用函数 xQueueCreateStatic();另一个是动态的,使用函数 xQueueCreate()。这两个函数本质上都是宏, 真正完成队列创建的函数是 xQueueGenericCreate()和 xQueueGenericCreateStatic(),这两个函数 在文件 queue.c 中有定义,这四个函数的原型如下。 1、函数 xQueueCreate() 此函数本质上是一个宏,用来动态创建队列,此宏最终调用的是函数 xQueueGenericCreate(), 函数原型如下: QueueHandle_t xQueueCreate(UBaseType_t uxQueueLength, UBaseType_t uxItemSize) 参数: uxQueueLength: 要创建的队列的队列长度,这里是队列的项目数。 uxItemSize: 队列中每个项目(消息)的长度,单位为字节 返回值: 其他值: 队列创捷成功以后返回的队列句柄! NULL: 队列创建失败。 2、函数 xQueueCreateStatic() 此函数也是用于创建队列的,但是使用的静态方法创建队列,队列所需要的内存由用户自 行分配,此函数本质上也是一个宏,此宏最终调用的是函数 xQueueGenericCreateStatic(),函数 原型如下: QueueHandle_t xQueueCreateStatic(UBaseType_t uxQueueLength,

2021-07-11

磨刀不误砍柴工-keb变频器 f5中文说明书-维修安装调试

1.2 磨刀不误砍柴工 1.3 FreeRTOS 源码初衷

2021-07-11

任务删除过程分析-智能家居物联网服务平台设计-论文

8.4 任务删除过程分析 前面我们已经学习了如何使用 FreeRTOS 的任务删除函数 vTaskDelete(),本节我们来详细 的学习一下 vTaskDelete()这个函数的具体实现过程,函数源码如下: void vTaskDelete( TaskHandle_t xTaskToDelete ) { TCB_t *pxTCB; taskENTER_CRITICAL(); { //如果参数为 NULL 的话那么说明调用函数 vTaskDelete()的任务要删除自身。 pxTCB = prvGetTCBFromHandle( xTaskToDelete ); (1) //将任务从就绪列表中删除。 if( uxListRemove( &( pxTCB->xStateListItem ) ) == ( UBaseType_t ) 0 ) (2) { taskRESET_READY_PRIORITY( pxTCB->uxPriority ); } else { mtCOVERAGE_TEST_MARKER(); } //任务是否在等待某个事件? if( listLIST_ITEM_CONTAINER( &( pxTCB->xEventListItem ) ) != NULL ) (3) { ( void ) uxListRemove( &( pxTCB->xEventListItem ) ); } else { mtCOVERAGE_TEST_MARKER(); }

2021-07-11

内核控制函数详解-ce 6810配置手册

10.1 内核控制函数预览 10.2 内核控制函数详解

2021-07-11

任务状态-ce 6810配置手册

5.3 任务状态 FreeRTOS 中的任务永远处于下面几个状态中的某一个: ● 运行态 当一个任务正在运行时,那么就说这个任务处于运行态,处于运行态的任务就是当前正在 使用处理器的任务。如果使用的是单核处理器的话那么不管在任何时刻永远都只有一个任务处 于运行态。 ● 就绪态 处于就绪态的任务是那些已经准备就绪(这些任务没有被阻塞或者挂起),可以运行的任务, 但是处于就绪态的任务还没有运行,因为有一个同优先级或者更高优先级的任务正在运行! ● 阻塞态 如果一个任务当前正在等待某个外部事件的话就说它处于阻塞态,比如说如果某个任务调 用了函数 vTaskDelay()的话就会进入阻塞态,直到延时周期完成。任务在等待队列、信号量、事 件组、通知或互斥信号量的时候也会进入阻塞态。任务进入阻塞态会有一个超时时间,当超过 这个超时时间任务就会退出阻塞态,即使所等待的事件还没有来临! ● 挂起态 像阻塞态一样,任务进入挂起态以后也不能被调度器调用进入运行态,但是进入挂起态的 任务没有超时时间。任务进入和退出挂起态通过调用函数 vTaskSuspend()和 xTaskResume()。 任务状态之间的转换如图 5.4.1 所示:

2021-07-11

创建资源下载-delphi开发中的字符串资源动态替换

8.5 创建资源下载 你已经用express.static()提供了静态文件,但Express提供了几种帮你处理文件传输的 响应方法。其中包括传送文件的res.sendfile(),它的变体res.download(),后者会在浏览 器中提示用户保存文件。 本节会对程序进行调整,添加一个GET /photo/:id/download路由,以便用户可以下载原 来上传的照片。 8.5.1 创建照片下载路由 首先你要给照片添加一个链接,这样用户才能下载它们。打开./views/photos/index.ejs,按照 下面的代码修改它。在img标签外面添加一个指向GET /photo/:id/download路由的链接。 代码清单8-19 添加下载链接 Mongoose提供了ID域,可以用 来查找特定的记录 迅 捷 PD F编 辑 器

2021-07-11

物模示例和行人模型的区别-劳特巴赫工具简介

9.2 与版本相关的行人功能和仿真 首先计算宏观参数,然后与经验数据比较。然后确保了与轨迹形成( 逆流) 和条痕( 十字路口) 重新形成宏观效果。尽可能真实展示产生的动画。 将行人的行为分为三层 (Hoogendoorn et al. 2002): 在分钟至小时的战略层上,行人通过创建一个目标列表规划路径。 在秒至分钟的战术层上,行人在目标之间选择路径。此时注意路网。 在毫秒到秒的操作层,行人进行实际移动。此时避开迎面而来的行人,引导密集的人群或者 引向目标方向。 社会力模型控制操作层以及战术层部分。请对战略层进行设置。 9.2 与版本相关的行人功能和仿真 车辆交通的 Vissim 许可还可选择包括用于行人仿真的附加模块 Viswalk。 如果您的 Vissim 许可不包含附加模块 Viswalk,那么可使用以下功能: 您可以读取 *.inpx 格式的文件,其中包括行人建模的元素。该元素可以是多个层或 30 以上的行人。 您可以执行一个包括行人在内的仿真。路网内最多可同时为 30 个行人仿真。如果读出的 路网文件不含行人数据,那么 Vissim 将生成行人类型、行人类别和行人构成的标准文 件。 可以在 Wiedemann 模型或 Helbing 的基础上为行人建模。路网内最多 30 个行人。 如果您的 Vissim 许可包含附加模块 Viswalk,那么行人数量取决于获得的 Viswalk 许可。 通过附加模块 Viswalk,您可以选择 Helbing 或 Wiedemann 交通流模型进行建模。 Viswalk 开始时生成以下标准文件: 行人类型,例如男和女 行人类别,例如人 行人构成,例如行人 没有仿真的车辆产品 Viswalk 只能用于行人仿真。如果您具有Viswalk的维护协议,可联系 PTV Vision Support(参见第 715 页的 “服务与支持” )。 9.3 物模示例和行人模型的区别 物模示例动画显示行人交通中的不同情景。根据 Wiedemann 或 Helbing,不同情景需要不同的模 型文件名。 522 © PTV GROUP

2021-07-11

标签图标-劳特巴赫工具简介

(6) 标签图标 如果您使用鼠标指针指向路网对象类型的名称之上或之后,或者此标签已经隐藏,那么仅显示此 图标。 如果您点击此图标,那么将显示或隐藏路网编辑器内此路网对象类型的路网对象的标签: 标签已隐藏 标签显示 路网对象栏中的快捷菜单 您可以在快捷菜单中选择各种功能的可见属性和可选属性,并调用所选路网对象类型的路网对象 列表 (参见第 44 页的 “路网对象栏中的快捷菜单” )。 修改路网对象栏的显示 您可以调整此窗口的位置、尺寸和可见属性 (参见第 62 页的 “修改窗口显示” )。 2.4.1 路网对象栏中的快捷菜单 1.  请使用鼠标右键点击此窗口。 2.  请通过快捷菜单选择所需的条目。 功能 说明 显示列表 显示含有路网对象类型的路网对象及其属性的列表 编辑图形参数 显示含有路网对象类型的图形参数列表 (参见第 109 页的 “编辑路网对象图 形参数” ) 所有类型都可见 在路网编辑器中显示所有路网对象类型的全部路网对象 所有类型都不可 见 在路网编辑器中隐藏所有路网对象类型的全部路网对象 所有类型都可选 择 在路网编辑器中所有路网对象类型的全部路网对象均可选择 所有类型都不可 选 在路网编辑器中所有路网对象类型的全部路网对象均不可选择 可选列 在路网对象栏中显示或隐藏可选列 标签列 在路网对象栏中显示或隐藏标签列 44 © PTV GROUP

2021-07-11

在时间中寻求什么-idl/envi培训教材

4.1 在时间中寻求什么 我们每天都在看时间。它在电脑里,手表 上,手机中,以及其他能看到的任何地方。即使 没有钟表,我们也会从日常起居和日升日落中感 受到时间。获得有关时间的数据是再自然不过的 事情,它让我们了解到事物正在如何变化。 不管是延续性还是暂时性的时间数据,我们 最常想的从中发现趋势。某个东西是在上升还是 下降?是否存在周期性的循环?要想找出这些变 化中存在的模式,就必须超越单个数据点,纵观 全局。只观察某个时间点上的数值当然更轻松, 但只有在了解到来龙去脉之后,你才会对这个数 值产生更深刻的理解。而且你对数据了解得越 多,你所讲的故事就越有感染力。 比如说,奥巴马政府在总统就职一年后公布 了一份图表,我在图4-1中进行了重新绘制。它显 示了从布什卸任到奥巴马上任之初这段时间内的

2021-07-11

日本文化的深层含义-基于attentionnet和denseunet的脊椎ct分割

俯瞰设计 第六章 日本文化的深层含义

2021-07-11

或更新版本-高清完整版 编程的修炼(中英双语)

功能键 1. 功能键,可以依用户设定定义,但有出厂预设定义。目前出厂只有 F1与 F4键可以搭配页面下方功能列执行功能,如 F1为 JOG功能及 F4为快速简易设定功能之我的模式参数之增加与删除。 2. 其余功能键功能需要使用 TPEditor编辑定义完成之后才有作用(请至台达网站下载软件,选取 TPEditor V1.40或更新版本 http://www.delta.com.tw/ch/product/em/download/download_main.asp?act=3&pid=3&cid=3&tpid=3 操作方式请参阅 10-3 TPEditor 操作说明) 1. 此键要依据参数设定中 Hand的频率来源与运转来源的设定来执行,出厂设定为 Hand的频率来源与运转来源的设定皆为数字操作器。 2. 在停止状态下按下此键会马上切换为 Hand的频率来源与运转来源的设定,在运转状态下按下此键,变频器先停止之后(会出现 AHSP的警报)切换为 Hand的频率来源与运转来源的设定。 3. 切换成功“H/A”灯号亮。(只有 KPC-CE01有此灯号)。在 KPC-CC01中需要在画面上显示现在为 HAND模式或是 AUTO模式。 1. 此键要依据参数设定中 AUTO的频率来源与运转来源的设定来执行,出厂设定值为外部端子(运转来源设定为 4-20mA) 2. 在停止状态下按下此键会马上切换为 Auto的频率来源与运转来源的设定,在运转状态下按下此键,变频器先停止之后(会出现 AHSP的警报)切换为 Auto的频率来源与运转来源的设定。 3. 切换成功“H/A”灯号灭。(只有 KPC-CE01有此灯号)。在 KPC-CC01中需要在画面上显示现在为 HAND模式或是 AUTO模式。 灯号功能说明 灯号名称 说明 常亮:驱动器运转命令指示灯。驱动器运转命令下达时的指示(含直流制动、零速、Standby、异常再启动、速度追踪等)。 闪烁:驱动器减速停止中,BB遮断中等为闪烁状态。 常灭:驱动器没有执行运转命令。 常亮:驱动器停止命令指示灯。灯亮代表变频器于停止中。 闪烁:驱动器处于 Standby状态。 常灭:驱动器没有执行停止命令。 驱动器运转方向灯 1. [绿灯] 常亮:驱动器处于正转状态。 2. [红灯] 常亮:驱动器处于反转状态。 3. 闪烁:驱动器正在改变运转方向。 在转矩模式下的驱动器运转方向灯 1. [綠灯] 常亮:当转矩命令大于等于零,电机为正转时 2. [红灯] 常亮:当转矩命令小于零,电机为反转时 3. 闪烁:当转矩命令小于零,电机为正转时 (只有 KPC-CE01有此功能) 运转中可做设定 手动灯号。手动时灯亮,灯灭代表自动模式。 (只有 KPC-CE01有此功能) 运转中可做设定 自动灯号。自动时灯亮,灯灭代表手动模式。

2021-07-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除