readmemh函数引用的txt格式_【转载】仿真时在verilog中使用$readmemh读取文件有时无效的原因...

在verilog中有$readmemh(“filename”, mem_name)命令,在使用这个命令时,”

filename”中的路径要用反斜杠'/',而不是斜杠'\',切记!

如$readmemh("F:/mydesigen/re_input.txt",re_input);上面的语句是正确的,而如果用斜杠

就有问题,如$readmemh("G:\data.txt",re_input)。

对文件进行数据输出用OUTFILE=$fopen("dispairity.txt")

$fdisplay(OUTFILE,"The dispairity of the pixel%d is: %d",j-434,DISP);

用$readmemh或$readmemb读取的数据文件data.txt和仿真数据写入文件在没有指定的情况下一定是在工程本身的文件夹下,仅仅是在工程所在文件夹中,与工程属于并列关系是不行的,要从属进工程才可以。

对于需要的txt文件,其格式为每行一个数据,例如用matlab产生文件则可以这样写

fid0 = fopen('G:\data.txt','wt');

fprintf(fid0,'%x \n',real(info));

其中的换行符是必须的。同时,在matlab中,路径语句的斜杠’\’或是反斜杠’/’都没有问题

我常用的是:

a0=funcCensusOneImage('im0.pgm',3);

fid0=fopen('G:\data.txt','wt');

fprintf(fid0,'%x\n',a0);

fclose(fid0);

向G:\data.txt文件写入经过ct变换的8位16进制数据,且每一个数据占一行,符合modelsim仿真时将data.txt数据读入存储器寄存器的规范。

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值