西门子stl语言编写教程_西门子SCL编程入门教程连载(17)-自己编写沿检测代码

4a40f092e9670cd844e1bbe15b68c27f.png

前面的文章《西门子SCL编程入门教程连载(5)-沿信息检测指令》,有小伙伴留言问:

SCL里使用沿检测必须使用DB块码?和梯形图不能一样么?

今天这篇文章,我来回答这个问题。

第一种情况:

如果使用SCL语言指令列表中的R_TRIG或者F_TRIG指令,在添加这些指令的时候系统会自动添加背景数据块或者多重背景数据块,所以这种情况下必须使用数据块的;

第二种情况:

沿信号检测指令的关键之处在于要记住之前的信号状态,然后将当前的信号状态与之前的进行比较,从而来判断是否是上升沿或者下降沿。

基于这一原理,我们可以自己编写沿信号检测代码:

首先,创建功能块FB_EdgeDetect,声明变量如下:

  1. request:用于检测的输入信号;
  2. edgeUp:上升沿输出信号;
  3. edgeDown:下降沿输出信号;
  4. requestMemory:输入信号的记忆值(上一个扫描周期的状态值),注意是静态变量;

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值