eda交通灯控制器波形输入_EDA实验报告实验四:交通灯控制器设计

实验设计了一个十字路口交通灯控制器,包括主干道和乡间公路的红绿灯控制,考虑了车辆传感器信号和时间间隔。系统在主干道通行状态下,接收到车辆请求后会经过黄灯过渡转向乡间公路通行,并确保主干道通行时间超过1分钟。同时,系统还配备倒计时数码管显示。设计中使用了状态寄存器、计时器,并对计时器的计数模式进行了详细规定。
摘要由CSDN通过智能技术生成

实验四交通灯控制器设计

一、实验目的

1、学习与日常生活相关且较复杂数字系统设计;

2、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法;

3、学习二进制码到BCD码的转换;

4、学习有限状态机的设计应用。

二、设计要求

完成设计、仿真、调试、下载、硬件测试等环节,在型EDA实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:

1、有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡

绿)六盏交通灯需要控制;

2、交通灯由绿转红前有4秒亮黄灯的间隔时间,由红转绿没有间隔时间;

3、乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请

求信号S=1,其余时间S=0;

4、平时系统停留在主干道通行(MGCR)状态,一旦S信号有效,经主道黄灯4

秒(MYCR)状态后转入乡间公路通行(MRCG)状态,但要保证主干道通行大于一分钟后才能转换;

5、一旦S信号消失,系统脱离MRCG状态,即经乡道黄灯4秒(MRCY)状态进

入MGCR状态,即使S信号一直有效,MRCG状态也不得长于20秒钟;

6、控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制

倒计时数码管显示。

三、主要仪器设备

1、微机1台

2、QuartusII集成开发软件1套

3、EDA实验装置1套

四、实验思路

1、设计一个状态寄存器,控制六盏灯的亮与灭

2、设计一个计时器,控制各状态的持续时间,计时器应满足以下要求:

1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模20计数,再进入模4计数,再回到模60计数

2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数,再进入模60计数

3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数

【摘要】 EDA技术是以大规模可编程逻辑件为设计载体,通过硬件描述语言输入给相应开发软件,经过编译和仿真最终下载到设计载体中,从而完成系统电路设计任务的一门新技术。在今后的电子产品研究开发中,EDA技术具有更好的开发手段和性价比,拥有广泛的市场应用前景 。本论文就是应用EDA技术来实现交通灯控制设计。 【关键词】 交通灯 VHDL 控制 设计一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制,具体要求如下: (1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码。 (2) 主干道处于常允许通行状态,而支干道有车来才允许通行。 (3) 当主、支道均有车时,两者交替允许通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。 设计方案 交通控制拟由单片的CPLD/FPGA来实现,经分析设计要求,拟定整个系统由9个单元电路组成。其中U1为交通灯控制JTDKZ,它根据主,支干道传感信号SM,SB以及时钟信号CLK,发出主,支干道指示灯的控制信号,同时向各定时单元,显示控制单元,发出使能控制信号EN1,EN2,EN3和EN4;U2,U3和U4为45S,5S,25S定时单元CNT45S,CNT05S,CNT25S;根据SM,SB,CLK及JTDKZ发出的有关使能控制信号EN1,EN2,EN3和EN4,按要求进行定时,并将其输出传送至显示控制单元;U5为显示控制单元XSKZ;根据JTSDKZ发出的有关使能控制信号EN1,EN2,EN3和EN4选择定时单元CNT45S, ,CNT05S,CNT25S的输出传送到各显示译码YMQ:他将显示控制单元XSKZ的输出作为输入进行译码,并向有关数码显示管发出显示控制
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值