QUARTUS_II LPM模块功能介绍中文版——转载

 

Megafunctions/LPM

The Quartus II software offers a variety of megafunctions, including the library of parameterized modules (LPM) functions and other parameterized functions. Megafunctions are listed below by function. Functions indicated by an asterisk (*) are provided for backward compatibility only.

  Arithmetic MegaWizards and Megafunctions:

Arithmetic components include accumulators, adders, multipliers, and LPM arithmetic functions.

MegaWizard

Megafunction(s)

Comments

ALTACCUMULATE

altaccumulate

Parameterized accumulator megafunction.

参数化的寄存器模块

ALTECC

altecc_decoder

Error correction code (ECC) megafunction.

数据代码纠正模块

altecc_encoder

Error correction code (ECC) megafunction.

数据代码纠正模块

ALTFP_ADD_SUB

altfp_add_sub

Floating-point adder/subtractor megafunction.

浮点、加法器/减法器模块

ALTFP_COMPARE

altfp_compare

Parameterized floating-point comparator megafunction.

参数化的浮点比较器模块

ALTFP_CONVERT

altfp_convert

Parameterized floating-point conversion megafunction.

参数化的浮点转换器模块

ALTFP_DIV

altfp_div

Parameterized floating-point divider megafunction.

参数化的浮点分配器模块

ALTFP_MULT

altfp_mult

Parameterized floating-point multiplier megafunction.

参数化的浮点乘法器模块

ALTFP_SQRT

altfp_sqrt

Parameterized floating-point square root megafunction.

参数化的浮点平方根模块

ALTMEMMULT

altmemmult

Parameterized memory multiplier megafunction.

数化的记忆乘法器模块

ALTMULT_ACCUM (MAC)

altmult_accum

Parameterized multiply-accumulate megafunction.

参数化的乘-累积模块

ALTMULT_ADD

altmult_add

Parameterized multiplier/adder megafunction.

参数化的乘法器/加法器模块

ALMULT_COMPLEX

altmult_complex

Parameterized complex multiplier megafunction.

参数化的综合乘法器模块

ALTSQRT

altsqrt

Parameterized integer square root megafunction.

参数化的整数平方根模块

LPM_ABS

lpm_abs

Parameterized absolute value megafunction.

参数化的绝对值模块

LPM_ADD_SUB

lpm_add_sub

Parameterized adder/subtractor megafunction.

参数化的加法器/减法器模块

LPM_COMPARE

lpm_compare

Parameterized comparator megafunction.

参数化的比较器模块

LPM_COUNTER

lpm_counter

Parameterized counter megafunction.

参数化的计数器模块

LPM_DIVIDE

lpm_divide

Parameterized divider megafunction.

参数化的分配器模块

divide*

Parameterized divider megafunction.

  • 2
    点赞
  • 28
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值