axis 数据流

产生数据流的代码

模板

 

 

 

 

 
 

 重新修改了下

reg [15:0]axis_data_cnt='d0;
    reg [15:0]axis_data_frame_cnt='d0;
    reg [5:0]delay_cnt='d0;

    
    initial begin
    #10 clk=0;
    forever begin
    #5 clk=~clk;
    end
    end
    initial 
        begin
        #20 rst_n=0;
        #100 rst_n=1;
        #300 rst_n=0;
        #100 rst_n=1;
        end
    always @(posedge clk)
        begin
            if(!rst_n)
                begin
                    s_axis_data<='d0;
                    s_axis_valid<=0;
                    s_axis_last<=0;
                    axis_data_frame_cnt<='d0;
                    delay_cnt<='d0;
                    axis_data_cnt<='d0;
                end
           else
            begin
                if(1)
                     begin
                        if(axis_data_frame_cnt<='d51200)//22*10  10frame
                            begin
                                axis_data_frame_cnt<=axis_data_frame_cnt+1;
                                if(axis_data_cnt<16'd510)
                                    begin
                                        if(delay_cnt=='d7)
                                        begin
                                            delay_cnt<='d0;
                                            s_axis_data<=s_axis_data+1;
                                            axis_data_cnt<=axis_data_cnt+1;
                                            s_axis_valid<=1;
                                            s_axis_last<=0;
                                        end
                                        else
                                        begin
                                            delay_cnt<=delay_cnt+1;
                                            s_axis_data<=s_axis_data;
                                            axis_data_cnt<=axis_data_cnt;
                                            s_axis_valid<=0;
                                            s_axis_last<=0;
                                        end
                                    end
                                else if(axis_data_cnt ==16'd510)
                                    begin
                                        if(delay_cnt=='d7)
                                        begin
                                        delay_cnt<='d0;
                                        s_axis_data<=s_axis_data+1;
                                        s_axis_valid<=1;
                                        s_axis_last<=1;
                                        axis_data_cnt<=axis_data_cnt+1;
                                        end
                                        else
                                        begin
                                        delay_cnt<=delay_cnt+1;
                                        s_axis_data<=s_axis_data;
                                        s_axis_valid<=0;
                                        s_axis_last<=0;
                                        axis_data_cnt<=axis_data_cnt;
                                        end
                                    end
                                else if(axis_data_cnt==16'd511)
                                    begin
                                    if(delay_cnt=='d7)
                                        begin
                                            delay_cnt<='d0;
                                            s_axis_data<=s_axis_data;
                                            s_axis_valid<='d0;
                                            axis_data_cnt<=axis_data_cnt+1;
                                            s_axis_last<=0;
                                        end
                                    else
                                        begin
                                            delay_cnt<=delay_cnt+1;
                                            s_axis_data<=s_axis_data;
                                            s_axis_valid<='d0;
                                            axis_data_cnt<=axis_data_cnt;
                                            s_axis_last<=0;
                                        end
                                    end
                                 else
                                    begin
                                        delay_cnt<='d0;
                                        s_axis_data<=s_axis_data;
                                        s_axis_valid<='d1;
                                        axis_data_cnt<=0;
                                        s_axis_last<=0;
                                    end
                            end
                       
                        else
                            begin
                                        axis_data_frame_cnt<=axis_data_frame_cnt;
                                        s_axis_data<='d1;
                                        s_axis_valid<='d0;
                                        s_axis_last<=0;
                                        axis_data_cnt<=16'd20;
                            end
                    end
            end
        end

 

转载于:https://www.cnblogs.com/yssrf-possible/p/7657125.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值