VIM的配置:高亮光标所在的行列

本文转自:http://www.jianshu.com/p/b8763c23ea64

 

基本设置

设置高亮行和列有两种方式进行设置

set cursorcolumn
set cursorline

" or

set cuc
set cul

我建议使用第一种方式,这样可以看到配置就能知道是干什么用的了,同时再加上注释,因为随着配置越来越多,最后自己可能都忘了这些配置是用来干嘛的了。

美化

我们现在已经能快速的分别光标所在的位置了,但是离我们最终需要的效果还差一些,因为当前行是一条横线,所以有时候很难区分英文的逗号和句号,在这个基础上我们需要加入一下配置

highlight CursorLine   cterm=NONE ctermbg=black ctermfg=green guibg=NONE guifg=NONE
highlight CursorColumn cterm=NONE ctermbg=black ctermfg=green guibg=NONE guifg=NONE

highlght 主要是用来配色的,包括语法高亮等个性化的配置。可以通过:h highlight,查看详细信息

CursorLineCursorColumn 分别表示当前所在的行列

cterm 表示为原生vim设置样式,设置为NONE表示可以自定义设置。

ctermbg 设置终端vim的背景色

ctermfg 设置终端vim的前景色

guibgguifg 分别是设置gvim的背景色和前景色,本人平时都是使用终端打开vim,所以只是设置终端下的样式

 

我按照上面的教程,在~/.vimrc中设置了行和列,但是感觉单独设行高亮显示比较好,列也高亮显示的话有点刺眼。

谢谢作者@xinxingzhao

转载于:https://www.cnblogs.com/nklzj/p/6298421.html

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
.vimrc文件是用来配置Gvim编辑器功能开关的配置文件。它一般分为系统配置和用户配置两类。 系统配置文件位于vim的安装路径内,默认路径为/usr/share/vim/vimrc。而用户配置文件.vimrc则由用户自己创建,存放于用户的根目录下。 下面是一个示例的.vimrc文件的内容及其解释: ``` colorscheme desert # 设置配色方案为desert syntax on # 打开语法高亮 filetype on # 打开文件类型检测 au BufRead,BufNewFile *.sv set filetype=systemverilog # 对于后缀为.sv的文件,设置文件类型为systemverilog au BufRead,BufNewFile *.v set filetype=verilog # 对于后缀为.v的文件,设置文件类型为verilog set number # 显示行号 set autoindent # 自动缩进 set ts=2 # 设置制表符宽度为2个空格 set expandtab # 将制表符转换为空格 set shiftwidth=4 # 设置缩进宽度为4个空格 autocmd FileType make set noexpandtab # 对于文件类型为makefile,不进行制表符转换 set hlsearch # 高亮搜索结果 set cursorline # 高亮当前行 set cursorcolumn # 高亮当前列 set guifont=Monospace\ 16 # 设置字体为Monospace,大小为16 inoremap ( ()<Esc>i # 输入(,自动补全为()并进入插入模式 inoremap { {}<Esc>i # 输入{,自动补全为{}并进入插入模式 inoremap [ []<Esc>i # 输入[,自动补全为[]并进入插入模式 inoremap " ""<Esc>i # 输入",自动补全为""并进入插入模式 source ~/.vim/user/alias.vim # 导入用户自定义的别名文件 ``` 要打开.vimrc文件,可以在终端的根目录下输入`gvim .vimrc`,然后回车即可在Gvim中打开.vimrc文件。 要打开运行Gvim,可以在终端中按下Ctrl+r,然后输入gvim,再回车即可打开Gvim编辑器。你还可以将vim目录中的gvim.exe重命名为vi.exe,然后在终端中输入vi,再回车也可以打开Gvim编辑器。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值