Xilinx ISE中使用Synplify综合报错的原因

    在Xilinx ISE中使用Synopsys Synplify 综合比较方便,但有时会出现如下错误:

"ERROR:NgdBuild: - logical block ' ' with type ' ' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol  ' is not supported in target 'virtex5'."

可以在综合选项中选择Synplify/Verilog,避免上述错误。原选择为Synplify/Verilog-VHDL. 相当于只选择一种HDL语言进行综合。

之前相关的参考链接有:

1. https://www.xilinx.com/support/answers/38262.html

2. https://forums.xilinx.com/t5/Implementation/NgdBuild-604-error-with-ISE-12-3/td-p/120918

3. https://www.edaboard.com/showthread.php?85318-plz-help-me-solve-these-erors-in-xilinx-project

 

转载于:https://www.cnblogs.com/zdwt/p/10913357.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值