第四章:用户自定义和枚举数据类型

此章一切为了可读性,代码自成文档。

1.typedef 建立用户自定义类型

2.enum建立枚举类型

枚举类型规定了变量取值范围(只能在规定的标签中取值),状态机常用此类型。

枚举类型同数组类似有专用的系统函数。

<枚举变量名>.first – 返回枚举列表第一个成员的值

<枚举变量名>.last – 返回枚举列表最后一个成员的值

<枚举变量名>.next(<N>) – 返回枚举列表中下一个成员的值,可以用一个整数作为next的参数,这种情况返回后面第N个成员的值

<枚举变量名>.prev(<N>) – 返回枚举列表中前一个成员的值

<枚举变量名>.num – 返回枚举列表中元素个数

<枚举变量名>.name – 返回枚举变量值对应的字符串,如果这个值不在枚举变量列表中,则返回一个空字符串

转载于:https://www.cnblogs.com/chip/p/4392557.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值