modelsim仿真常用系统函数

(1)$time

   作用:返回所在模块的仿真时间,可以查看信号的出现的时间,用来把握信号的时序。

   如:$display(''the time is %t'',$time) ;//显示当时的时间

 (2)$display

作用: 将需要显示的内容在命令栏显示出来

如: $display("the signal is % d",ad); //将ad信号以十进制的方式显示出来

 (3)$monitor

作用:监视变量的变化,一旦变量变化,则将变量显示出来

如:$monitor ("at time is %t and the signal is %b\n",$time , signal) ;

  (3) 文件操作类

     $fopen

作用:打开一个文件面,对文件的操作

 $fdisplay

作用:在打开的文件里,写入显示的内容

 $fmonitor

作用:在打开的文件里,写入监视的变量变化时的内容

 $fclose

作用:关闭当前的内容

如:initial

      Begin :block  //可以在内部声明局部变量

      Integer  out_file;

      out_file = $fopen("data.out","w") ; //打开data.out这个文件后,从第一行开始写,如果该文件没有,则首先创建该文件,然后再写。打开文件后返回out_file这个文件整形指针

      $fdisplay(out_file,"at the time is %t ",$time);

      .....

      $fmonitor(out_file,"at the time is %t and the signal is %b\n ",$time ,signal);

       ......

      $fclose(out_file);

      End

转载于:https://www.cnblogs.com/lianjiehere/p/3784513.html

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值