16 分频 32 分频是啥意思_Verilog 数字分频器的设计及验证

8dea2c2d6c3560e35e10f10f80974c29.png

1、分频器的概念及应用

分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。

早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器。

2、数字分频器类型

数字分频器类型主要包括了2的整数次幂的分频器、偶数分频、占空比为1:15的分频电路和奇数分频电路等。

3、分频器的rtl和testbench

在硬件电路设计中时钟信号是最重要的信号之一,经常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号,这里以50Mhz为主振源,分别进行2的整数次幂的分频器、偶数分频、占空比为1:15的分频电路和奇数分频电路。

3.1 2的整数次幂的分频器

2的整数次幂的分频器是指对时钟信号clk进行2分频、4分频、8分频和16分频等,这是最简单的分频电路,只需要一个计数器就可以,这里采用4位计数器。

3.1.1 rtl代码

//div2_4_8_16

module div2_4_8_16(

input rst_n,

input clk,

output div2,

output div4,

output div8,

output div16

);

reg [3:0] cnt;

always@(posedge clk or negedge rst_n)

begin

if(!rst_n)

cnt<=4'd0;

else

cnt<=cnt+1'b1;

end

assign div2 =cnt[0];

assign div4 =cnt[1];

assign div8 =cnt[2];

assign div16 =cnt[3];

endmodule

3.1.2 testbench

//tb_div

`timescale 1ns/1ns

module tb_div();

reg clk;

reg rst_n;

wire div2;

wire div4;

wire div8;

w

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值