VCS学习笔记

  完成RTL代码设计之后,需要进行仿真,IC设计中,最常见的工具是VCS(本人使用VCS2014)。对RTL代码进行功能仿真,下面简要介绍一下采用脚本方式的步骤:

1.建立一个文件夹,将所有设计.v和验证tb.v拷贝进该文件夹。

2.修改testbench,在testbench中加入如下语句,目的是为了导出vcd文件。

initial

begin

  $dumpfile("xxx.vcd");         //待输出的波形文件名,可更改

  $dumpvars(0,u0);               //u0为testbench中实例化的顶层文件名称,注意根据实际情况修改该名字

end

initial #1000 $finish; //仿真电路的时间

3.建立一个xxx.scr文件,写入vcs -R xxx.v xxx.v xxx.v +v2k +define+RTL_SAIF 保存。

4。打开终端,输入source xxx.scr,若无错误,输入dve,导入添加入testbench的.vcd文件,添加波形即可查看图形化的仿真结果。

以上是VCS简单的应用,复杂的功能后面再学习,记录。

 

 

转载于:https://www.cnblogs.com/lionsde/p/11129706.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值