自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(86)
  • 收藏
  • 关注

转载 字典/集合

字典:1.通过键而不是索引来读取  有时也称为关联数组或散列表;2.任意对象的无序集合3.字典是可变的,并且可以任意嵌套4.键必须唯一。若键出现两次,后一个会被记住5.字典中的键必须不可变。可以使用数字,字符串,元组,但不能使用列表。创建:  dic={'key1':’value1‘,’key2':'value2','key3':'value3'}...

2019-09-22 19:05:00 195

转载 Tuple元组

从元组和列表的定义上看,这两种结构比较类似,元组为不可变序列,列表为可变序列。元组中的元素不可以单独修改,只能整体修改元组。而列表可以任意修改。tuplename=(element1,element2)元组使用一对小括号将所有元素括起来,但小括号不是必须的。有逗号就可以。uk="高山流水”,“下里巴人”  #元组uk="高山流水“,  #元组uk="高...

2019-09-22 17:47:00 215

转载 序列/列表

1.序列 用于存放多个值的连续内存空间,并按一定顺序排列1).索引1 to n-1-n to -12).切片sname[start:end:step]print(nba[2:4]) #获得第3-5个元素print(nba[2:4:5] #获得第3/5/6个元素3)序列相加num=[21,33,44]b=[1,2,4]print(n...

2019-09-22 12:55:00 328

转载 流程控制语句

1.若flog 为布尔型变量  if  flag:  #表示为真  if  not flat:  #表示为假if 表达式:  语句块if number %7 == 2 and number //2 =3 :  print("XXX")1)如果只有一句,语句块可以放在if表达式之后。if a>b : max=a2)不要忘了 :号3)...

2019-09-21 17:20:00 118

转载 Python Basic

1.保留字import keywordkeyword.kwlistand as assertbreakclasscontinuedef delelif else exceptfinally for form Falseglobalif import in islambdanonlocal not Noneor pas...

2019-09-21 16:46:00 145

转载 lminus

lminus是Synopsy自带的tcl list 操作command。 顾名思义,可以将两个list相减,即过滤掉两个list中相同的element,生成一个新的list,其实是用lsearch与lreplace相结合也可实现此功能,但这个command方便了许多。prompt> set l1 {a b c}a b c...

2019-09-10 14:37:00 636

转载 TCL create list from file

proc create_list {filename {prompt verbose} {opts "" }} { set list_return {} if {[file exists $filename] } { if {$prompt eq "verbose" } { puts "create_list : Reading file \"$filename \" and ...

2019-09-10 13:10:00 245

转载 DFT 问答 III

1.Boundary scanBoundary Scan就是我们俗称的边界扫描。Boundary Scan是上世纪90年代由Joint Test Action Group(JTAG)提出的,它的初衷是为了解决在PCB上各个大规模集成电路间的信号互联测试需求,所以往往也被叫做JTAG(JTAG更是指由IEEE1149.1标准规定的4线接口极其控制逻辑如TAP、TDR等)。现在JTA...

2019-09-07 11:40:00 2002

转载 DFT 问答 II

1. Boundary ScanA:Boundary scan顾名思义,是附加在芯片I/O周边的扫描测试链,它通过专门的测试端口(TAP)访问。在测试模式下,边界扫描链会接管功能逻辑,对I/O进行灵活访问。边界扫描链的结构,测试端口,以及其控制器(TAP Controller),被IEEE定为标准协议(IEEE 1149),也称做JTAG.边界扫描链最早应用于印刷电路...

2019-09-07 11:17:00 1654

转载 DFT 问答 I

Q:Boundary Scan是什么?应用场景是什么?实现的方法是什么?挑战是什么?A:Boundary Scan就是边界扫描,是由Joint Test action Group起草的规范,最初是为了解决板级芯片之间的互联测试的问题,实现方法就是在芯片内部的每个I/O上面加上一个Boundary Scan cell用于控制和观测每个I/O的状态,然后把每个I/O的bscell串...

2019-09-07 10:06:00 1114

转载 从ICG cell 在 library 中的定义说起

如Coding 时需要考虑什么样的代码风格会使gating 的效率更高;综合时需要特别设置要插入的gating 类型,每个gating 的fanout 范围,是否可以跨层次,是否需要做physical aware 的gating;DFT 时需要确定clock gating 的TE pin 如何连接以保证在DFT 模式clock 可控;P&R 时需要考虑gating 的位置是否合理...

2019-09-03 23:42:00 1414

转载 grep Or And 操作

grep or 操作符使用 \|如果不使用grep命令的任何选项,可以通过使用 '|' 来分割多个pattern,以此实现OR的操作。grep 'pattern1\|pattern2' filename 使用选项 -Egrep -E 选项可以用来扩展选项为正则表达式。 如果使用了grep 命令的选项-E,则应该使用 | 来分割多个pat...

2019-09-02 21:45:00 396

转载 SSG (slow global), TTG (typical global) and FFG (fast global)

https://semiwiki.com/x-subscriber/clk-design-automation/4481-variation-alphabet-soup/n response, foundries have broken out on-die variation as a separate component in their SPICE models. The...

2019-09-01 22:35:00 2381

转载 APL: ANSYS Power Library

1. creating accurate switching current waveforms (profiles)2.output-state dependent decoupling capacitance (intrinsic decapacitance)3. equivalent power circuit resistance (ESR effective serie...

2019-09-01 10:51:00 515

转载 gnuplot 让您的数据可视化

https://www.ibm.com/developerworks/cn/linux/l-gnuplot/index.htmlhttp://blog.sciencenet.cn/blog-373392-527507.htmlhttp://blog.sciencenet.cn/blog-373392-529904.html语法是统一的:通过输入help &lt...

2019-08-28 23:30:00 251

转载 sort

sort -nk3按照第三列排序转载于:https://www.cnblogs.com/lelin/p/11426788.html

2019-08-28 21:59:00 108

转载 sed

sed是一个很好的文件处理工具,本身是一个管道命令,主要是以行为单位进行处理,可以将数据行进行替换、删除、新增、选取等特定工作,下面先了解一下sed的用法sed命令行格式为:sed [-nefri] ‘command’ 输入文本常用选项:-n∶使用安静(silent)模式。在一般 sed 的用法中,所有来自 STDIN的资料一般都会被...

2019-08-28 16:36:00 85

转载 AWK

AWK 内置变量表1、常用操作[chengmo@localhost ~]$ awk '/^root/{print $0}' /etc/passwdroot:x:0:0:root:/root:/bin/bash/^root/ 为选择表达式,$0代表是逐行2、设置字段分隔符号(FS使用方法)[chengmo@localhost ~]$ awk 'BEGIN{F...

2019-08-28 12:01:00 107

转载 STA之RC Corner再论

Q:RC-Corner跟PVT怎么组合?A:通常的组合:Q:通常说的ttcorner指的是啥?A:@孟时光ttcorner是指管子在tt+RCtyp吧。Typesof cornersWhen working in the schematic domain, weusually only work withfrontend of line(F...

2019-08-28 00:14:00 2071

转载 STA之RC Corner拾遗

Q:还有一种RCcorner 带后缀『_T』,只用于setup signoff,T指的是什么?A:T代表tighten,在rc的variation上的sigma分布比不带T的更紧,因此只能用于setup,hold不推荐。Appleto Apple地比较,T的variation更小,理论看到的rc变化更小,单从setup产生violation的可能性更小。至于悲观还是乐观,除非自己定...

2019-08-27 23:49:00 2225

转载 STA之RC Corner

RC corner,这里的RC指gate跟network的寄生参数,寄生参数抽取工具根据电路的物理信息,抽取出电路的电阻电容值,再以寄生参数文件输入给STA工具,常见的寄生参数文件格式为SPEF。ICer都知道在集成电路中是多层走线的,专业术语叫metal layer,不同工艺有不同层metal layer,任何两层metal layer间由介电材料...

2019-08-27 23:06:00 4513

转载 STA之PVT

在STA星球,用library PVT、RC corner跟OCV来模拟这些不可控的随机因素。在每个工艺结点,通过大量的建模跟实测,针对每个具体的工艺,foundary厂都会提供一张推荐的timingsignoff表格, 建议需要signoff的corner及各个corner需要设置的ocv跟margin。这些corner能保证大部分芯片可以承受温度、电压跟工艺偏差,一个corner=l...

2019-08-27 22:13:00 1361

转载 STA 开篇

时序分析=动态时序分析+静态时序分析动态时序分析简单讲就是gate-level simulation,仿真对象是netlist+sdf,通过SDF反标,得到gate跟net的delay,通过输入大量的激励来验证电路的功能。动态时序分析通常覆盖以下几方面:典型应用场景的功能验证、频率的动态切换、跨时钟域信号是否正确同步、PMU上电及断电的顺序是否正确并满足相应的时序要求、mu...

2019-08-27 21:51:00 335

转载 SAIF anno

https://www.cnblogs.com/IClearner/p/6898463.htmlSAIF--RTL BACK分析法  RTLbackwardSAIF文件是通过对RTL代码进行仿真得到的,当设计很大的时候,门级仿真时间就会很长,这时候就可以使用这种方法进行分析。使用这种方法进行分析功耗的速度比较快,但是进度不够门级仿真SAIF文件的高。①RTLfor...

2019-08-27 18:52:00 1484

转载 set_set_switching_activity

set_switching_activity-static 0.2 -toggle_rate 20 -period 1000 [all_inputs]这时,翻转率设置的节点是输入,响应的翻转率为:Tr = 20/1000 = 0.02GHz·SAIF文件:即switching activity interchange format,开关行为内部交换格式文件,用...

2019-08-27 18:50:00 1264

转载 PTPX-功耗分析总结

使用PrimeTime PX进行功耗分析有两种:一种是平均功耗的分析Averaged power analysis,一种是Time-based power analysis。电路的功耗主要有两种,一种是漏电流功耗(Leakage Power),也就是一个单元在没有switching,inactive或者static情况下的功耗,包括intrinsic leakage power...

2019-08-27 13:51:00 6804

转载 library 中的internal power为何为负值?

下图是library中一个寄存器Q pin 的internal_power table, 表中该pin 的internal power 大多都是负值。其实library 中的internal_power 不是功率,而是热量,单位是焦耳不是瓦特原因:Internal power 为负值是由Liberate K 库的建模方式决定的,Liberate K 库的时候,对interna...

2019-08-26 13:53:00 1537

转载 浅谈Power Signoff

Power Analysis是芯片设计实现中极重要的一环,因为它直接关系到芯片的性能和可靠性。Power Analysis 需要Timing Analysis产生包含频率、transition 等时序信息的Timing File,也需要包含Net Capacitance和Resistance信息的SPEF文件,功耗分析工具根据timing file 和RC value计算功耗或者分析...

2019-08-26 13:43:00 4611

转载 UPF set_port_attribute

『set_port_attribute』, 在IEEE 1801-2015 中该命令定义如下,不是所有的工具都支持所有的option:这个命令用于描述port 在『未知』区域的power 连接情况,如top 的input port 是由哪个电压域的cell驱动,output port 的输出将驱动哪个电压域的cell; 如hard macro 的input port 在实际的ha...

2019-08-26 13:28:00 1863

转载 动态 功耗优化

https://mp.weixin.qq.com/s?__biz=MzUzODczODg2NQ==&mid=2247484666&idx=1&sn=1f3a7301f556468bc1cb0f222a8965e6&chksm=fad26d24cda5e432b8cc785ab56ddfbc73a106cc3f680b5632219244ca1e8b6555...

2019-08-26 00:42:00 1841

转载 功耗 报告

Power是衡量数字芯片性能的三大指标之一,从整个设计流程看,越早考虑功耗收益越大,在系统架构级一个微小调整所减少的功耗,也许可以使实现工程师眼圈轻两个色度。因此早期功耗评估显得格外重要,于实现工程师而言,即是:RTL级功耗分析,世面上有多个RTL功耗分析工具,而当红新宠非Joules莫属。传统RTL功耗分析工具的缺点:没有真实的综合引擎,无法得到真实的PPA...

2019-08-26 00:36:00 877

转载 Analog power pin UPF defination

在一个analog macro端口上,有些pin是always on的,有些是shut down的,如何描述这些pin的power属性?这是一个常见问题,驴就此机会大致描述一下常见的做法。对于这个问题,UPF2.0跟UPF2.1有不同的处理方式。UPF2.0, IEEE1801-2009,因为modeling的能力比较弱,有以下两种方式来处理:方式一:需要Libr...

2019-08-26 00:13:00 1103

转载 动态功耗计算

CMOS管功耗 = 动态功耗 + 静态功耗动态功耗有两种表述,两种表述的区别之处在于:把对管子内部电容充放电消耗的功耗归于谁,第一种表述常见于理论分析,第二种表述常见于EDA工具功耗计算。第一种表示:动态功耗 = 开关功耗 + 短路功耗开关功耗:指管子在翻转过程中对『相关电容』进行充放电消耗的功耗,此处『相关电容』包含管子内部结点电容和负...

2019-08-26 00:04:00 6606

转载 静态功耗 计算

大概在遥远的90nm之前,leakage power在library里确实是被描述成一个常值的。但从90nm开始,为了更加精确,library里的leakage power不再是个常值了,而是被模拟成一个输入状态的函数。所以基础还是library,在一个library里跟leakage相关的变量大致有:library(my_lib) {leakage_power_...

2019-08-25 23:28:00 2797

转载 Innovus 对multibit 的支持

如果在综合阶段没有做multibit merge, 或综合阶段由于缺失物理信息multibit cell merge 不合理,那就需要PR 工具做multibit merge 或split.Innovus 支持multibit 的merge 及split, 在setOptMode 中由如下两个option 控制:-multiBitFlopOpt { f...

2019-08-25 23:03:00 1067

转载 P &R 12

Floorplan包含:IO floorplan: 涉及板级设计、封装设计的交互,接口协议(timing相关),对一些高速接口需要做特殊考虑(如信号完整性等)。Power plan:芯片的电源和低功耗设计方案、功耗及IR仿真。Block floorplan:涉及设计结构,总线结构,时钟结构,数据流和timing。Pin assignment...

2019-08-25 12:40:00 633

转载 P & R 11

要做好floorplan需要掌握哪些知识跟技能?首先熟悉data flow对摆floorplan 有好处,对于减少chip的congestion 是有帮助的,但是也不是必需的,尤其是EDA工具快速发展的当今,比如Innovus 最新的MP特性,可以自动对cell和macro 一起做place,结果相当不错,省了很多事情。其次,需要了解 design的 power mesh,工艺的...

2019-08-25 12:22:00 2057

转载 power-plan如何定

Power-Plan或者说PG如何打,这是一个仁者见仁智者见智的问题,没有一个标准的答案,因为有各种各样的影响因素。本文将列举一些可能的影响因素:1.和design 相关1) Utilization 低的打的越多越好,高的需要打低一点,考虑DRC 和Congestion。2)同样的Utilization,同样的PG 结构,模块功能不一样,congestion 也不一样.特殊...

2019-08-25 11:43:00 676

转载 P & R 10

作为一个后端设计者,所需要掌握的技能其实就是熟练的利用工具,为自己服务。需要的知识是什么?说的简单点,就是如何把设计的PPA搞上去。说的复杂点,那就得从PPA需要注意的每个点去一一剖析。这个就太需要篇幅了。我也不想展开去说。我们就先拿floorplan来做个例子简单说一下。floorplan是整个设计的起点,好比建房子的地基一样,好的地基才会造出牢固的房子。不好的地基,估计没建...

2019-08-25 11:04:00 219

转载 P & R 9

Floorplan:要做好floorplan需要掌握哪些知识跟技能?明确Floorplan 处理的对象:对于数字设计的 Floorplan 来说,它是一个很依赖前后步骤的一个过程,这个可以看作是后端Layout 的开始,Floorplan 处理的对象我喜欢叫做Special Instance and Region,工具的进步已经可以从 RTL 级别,以及Synthesis 阶段去...

2019-08-25 10:41:00 396

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除