verilog仿真文件编写

verilog仿真文件大概框架:

·timescale 1ns/1ps           //但需要时间
module   xxx_tb();        //仿真文件不需要输入和输出,
intput clk;
reg   [width:0]  xx;             //根据需求定义激励及位宽度

initial
    begin

    #xx   ......        //用延时命令
    $stop;             //系统命令,停止仿真
    end
............................        //实例化模块;

转载于:https://www.cnblogs.com/kwinwei/p/9022165.html

  • 1
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值