Verilog行为仿真

  • 系统函数
    • 显示
    • 仿真控制
    • 时间($time)
    • 文件操作
  • 预编译语句
  • 其他仿真语句

1.概述

对Verilog中用于验证的常用函数进行总结,方便以后使用。

2.系统函数

系统任务和系统函数的名字都是用字符"$"开头。

2.1 显示

  • $display
    用于字符串打印,类似于C/C++中的printf
$display("带格式字符串",参数1,参数2...);
module sdisp1
integer i;
reg [3:0] x;
initial begin
i=21;
x=4'h3;
$display("1\t%d\n2\t%h\\",i,x);
end
endmodule
  • $write
    与$display一样,$write也是输出显示到标准输出;\ $display会在文本后加一个换行,而$write是不加的。
$wirte("带格式字符串",参数1,参数2...);

2.2 $strobe 和$monitor

$strobe和$monitor也可以完成显示任务,它们在有些情况下可以弥补$display的不足,语法如下:

$strobe("带格式字符串",参数1,参数2...);
$monitor("带格式字符串",参数1,参数2...);

Demo code:

`timescale 1ns/1ps
module sdisp3;
reg [1:0]a;
reg b;
initial $monitor("\$monitor: a=%b",a);
initial begin
b=0;
a=0;
$strobe("\$strobe: a=%b",a);
a
  • 2
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值