Verilog实现同步FIFO

作为实现RS232串行通信的Verilog实现的预备工作,使用Verilog实现了同步FIFO的功能,其代码段如下

//this program segment realize the function of fifo IPcore
//synchronous fifo
module fifo_ip 
#( parameter Addr_Width=8,Bit_Width=8
)
(clk,rst,wren,rden,full,empty,din,dout,counter);
input clk,rst,wren,rden;
input[Bit_Width-1:0] din;
output full,empty;
output reg[Bit_Width-1:0] dout;
output reg[2:0] counter;
reg[3:0] addr_wr,addr_rd;
reg[Bit_Width-1:0] buf_mem[0:Addr_Width-1];
parameter s0=2'b00,s1=2'b01,s2=2'b10,s3=2'b11;
always @(posedge clk or negedge rst)
begin
if(!rst)
    begin
        dout<=0;
        counter<=0;
        addr_wr<=0;
        addr_rd<=0;
    end
else
    begin
        case({rden,wren})
        s1:begin
                if(!full)
                    begin
                        buf_mem[addr_wr]<=din;
                        addr_wr<=addr_wr+1;
                        counter<=counter+1;
                    end
            end
        s2:begin
                if(!empty)
                    begin
                        dout<=buf_mem[addr_rd];
                        addr_rd<=addr_rd+1;
                        counter<=counter-1;
                    end
            end
        s3:begin
                if(!empty)
                    begin
                        dout<=buf_mem[addr_rd];
                        addr_rd<=addr_rd+1;
                        if(full) counter<=counter-1;
                    end
                if(!full)
                    begin
                        buf_mem[addr_wr]<=din;
                        addr_wr<=addr_wr+1;
                        if(empty) counter<=counter+1;
                    end
            end
        endcase
    end
end
assign full=({~addr_wr[3],addr_wr[2:0]}==addr_rd[3:0])?1:0;
assign empty=(addr_rd[3:0]==addr_wr[3:0])?1:0;
endmodule

主要思想是通过两个输入对读写进行控制,创建一个数组向量,存储每次写入的值,采用先进先出(即FIFO)的思想,当写满时,发送满指令,读空时发送空指令。

此法与网络上能够搜到的其他方法大同小异,纯属记录coding的结果,还没有优化与重构,各位看官见谅!

以上,互相学习!!!

转载于:https://www.cnblogs.com/lightmonster/p/10198233.html

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值