fpga板子怎么和电脑连_FPGA与PC串口通信设计与实现

本文通过Verilog实现了FPGA与PC的串行通信,详细介绍了设计过程及各功能模块的实现,使用Xilinx Spartan-3E FPGA,并通过软件仿真和实验验证了设计的正确性。
摘要由CSDN通过智能技术生成

FPGA

PC

串口通信设计与实现

朱泽锋

1

赵丹辉

2

王鹏宇

1

【摘

要】

本文采用

Verilog

硬件描述语言,利用

Xilinx

公司的

FPGA

芯片实现

其与

PC

间的串行通信,详细说明了完成通信过程所需各功能模块的具体实现

方法,通过软件仿真和实验结果分析,验证了设计的正确性。

【期刊名称】

黑龙江科技信息

【年

(

),

期】

2010(000)028

【总页数】

1

【关键词】

FPGA

PC

;串口通信

基于

FPGA(Field-Programmable

Gate

Array)

芯片的系统设计中,程序软件

仿真验证通过后,需要下载到芯片中测试是否能够完成相应功能。可以使用

PC

PFGA

通过串口发送数据并将处理后的数据返回计算机分析是否满足设计要

求。完成串口通信可以选用专用的串行外设接口芯片如

16550

NS16450

者控制单片机接收数据。而采用模块化设计方法,通

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值