基于FPGA的数字时钟数码管显示


今天开始在博客园的博客之旅!!!
         本实验是数码管、按键、分频的综合实验,要求:用硬件描述语言verilog设计一个具有时、分、秒计数显示功能,以24小时循环计时的时钟电路,带有一键清零、以及秒、分、时校准的功能。下图是本设计的系统框图:

24小时计时时钟电路是对系统时钟进行分频到1Hz,下面是流程图:


 

 

 

 

 

 

 

 

 

 

 

 

     

 

      

 

       按键控制模块中包括:清零键(clr)、设置键(set)、模式选择键(mode)。当清零键按下,时钟电路清零,数码显示零。设置键跟模式选择一起使用,对电路进行校准。各种模式的状态图如下:

 


当这些都准备好了,你就开始你的工程吧,下面是整个工程的RTL视图:

    

转载于:https://www.cnblogs.com/xiaofeng6636/archive/2012/11/30/2796530.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值