Quartus 11进行编译Compile Design的时候出现错误near text ã

1. 设计的工程在Compile Design的时候出现以下的错误,百思不得姐

Error (10170): Verilog HDL syntax error at div_5.v(24) near text ã
Error (10170): Verilog HDL syntax error at div_5.v(24) near text "ã";  expecting "end"
Error (10170): Verilog HDL syntax error at div_5.v(24) near text 
Error (10170): Verilog HDL syntax error at div_5.v(29) near text ã
Error (10170): Verilog HDL syntax error at div_5.v(29) near text "ã";  expecting "end"

2. 看下出现错误的地方,完全看不出来有啥问题,后来把代码的空格和回车换行的地方删除,重新添加了一下,不报错了。所以猜测是空格或者回车韩航地方有问题。

3. 使用Quartus自带的工具,显示缩格和显示空格,发现在提示错误的地方没有空格和缩格,估计是因为拷贝的代码,所以有点问题。

4. 虽然表面看起来是空格和回车换行,但是实际上不是,所以我们手动修改下这些地方,重新编译即可。

转载于:https://www.cnblogs.com/429512065qhq/p/8645498.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值