乘法器之四( 乘加器(Sum of multiplication))

乘加器是通过计算输入数据与一系列被乘数的乘积并求和实现的,常用于FIR滤波器的乘累加运算。在乘加模式下,输入数据按位送入RAM,与被乘数相乘得到部分积,再进行移位累加。例如,使用M512 RAM块可以实现四输入、16位定系数的乘加乘法器,仿真结果显示了输入A与系数c0的乘法过程。设计者可以根据需求选择不同的流水线级数和RAM资源,以适应不同的输入位宽、系数位宽和执行速度。StratixII和Stratix器件提供了不同实现方案的资源和性能数据。
摘要由CSDN通过智能技术生成

3)      乘加器(Sum of multiplication

乘加模式乘法器的运算结果就是一系列输入数据(乘数)乘以一系列被乘数所产生的部份积的和。

这种乘加结构易于实现MAC(乘-累加)函数,乘累加运算在FIR滤波器等应用中非常常见。乘法器

的每个输入数据(乘数)被乘到一个特定的系数(或者叫被乘数),然后把所有乘积项相加后得到最

终的乘法结果。

在乘加器模式下,每个输入总线每个时钟周期送一位到存储器的地址端口,低位先送。如果乘法器块有

四个输入总线(分别是A、B、C和D),那么第一个时钟送入的四个输入的最低位形成一个4位的 RAM

块地址。下一个时钟,送入四个输入总线的次低位形成RAM块的第二个4位地址值,依此类推。所以对

于一组n位宽度的输入总线,需要n个时钟来送入运算所需要的数据。在每个时钟周期,RAM块依次输

出该特定位和被乘数相乘运算的结果。 

图14是用RAM LUT实现四个4位输入数据以及高达16位常系数的例子。根据不同的权位,移位累加每

个时钟从RAM块得到的部份积,总共需要花费6个时钟周期(四个时钟加载输入数据到RAM块,两个时

钟用于流水延时)来完成这种常系数乘法操作。部份积的每次移位累加增加一个进位位。当第四个部份

积累加完成后,乘法器产生一个22位的输出。输入数据的位宽决定了乘法器的输出位宽

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值