Xilinx ISE Design Suit 10.1快速上手

本文介绍了如何使用Xilinx ISE Design Suit 10.1创建工程,建立Verilog源文件,进行代码编辑,实现设计,设置时序约束,进行仿真和时序分析,以及指定引脚分配,最终完成设计并准备下载程序。
摘要由CSDN通过智能技术生成

                                                             ISE Design Suit 10.1界面布局

1、创建工程

---->点击File > New Project... ,在弹出的对话框按图填写

 

 

---->点击Next  ,在弹出的对话框按图填写

---->一路点击Next,最后点击Finish

2、建立HDL源文件---->以Verilog为例

---->右键单击工程管理区空白处,在弹出的快捷菜单里选择New Source...

---->在弹出的对话框点击Verilog Module,文件名写入counter,如图

---->按照下图进行填写

---->一路点击Next,最后点击Finish

---->源文件如图所示

---->使用代码模板完成设计

---->点击Edit Language Templates… 弹出Language Templates对话框,点击Window Tile
       Vertically使源码文件与模板文件同时可见,如图

---->点击“+”号,找到如下模板Verilog Synthesis Constructs Coding Examples Counters Binary

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值