python模板代码生成_利用python自动生成verilog模块例化模板

本文介绍如何利用Python脚本自动生成Verilog模块的例化模板,包括读取设计文件、正则匹配模块名称和端口、写入指定文件。通过文件读写和正则表达式,实现自动化生成模块例化代码,提高工作效率。
摘要由CSDN通过智能技术生成

一、前言

初入职场,一直忙着熟悉工作,就没什么时间更新博客。今天受“利奇马”的影响,只好宅在家中,写写技术文章。芯片设计规模日益庞大,编写脚本成了芯片开发人员必要的软技能。模块端口动不动就几十上百个,手动编写代码伤不起。实现verilog模块例化模板的自动生成也算是我自砸饭碗的第一步了O(∩_∩)O!

二、代码设计

要自动生成模块例化模板总共分三步:1打开设计文件,读取内容2正则匹配3打开指定上层文件,写入例化模板。涉及到的知识点主要有文件读写和正则匹配。该脚本分别用两个表达式匹配模块名称和端口列表,之后把两者按照verilog模块例化的代码规则写入到指定文件。具体细节上代码之后再说:

1#!/usr/bin/python

2

3importre

4importos

5

6#regexcompile

7regex_module=re.compile('(module)(\s+)(\w+)')

8

9regex_ports=re.compile('''

10(input|output)#0

11(\s+)#1

12(wire|reg\s+)?#2

13(\[\w+\-1\:0\]\s+)?#3

14(\w+)#4

15''',re.VERBOSE)

16

17directory=os.getcwd()

18#openthedesignfile

19file_design=input('Pl

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值