《CMOS集成电路后端设计与实战》——第1章 引  论

本节书摘来自华章出版社《CMOS集成电路后端设计与实战》一 书中的第1章,作者:刘峰,更多章节内容可以访问云栖社区“华章计算机”公众号查看。

第1章

引  论
一般人对集成电路(Integrated Circuit,IC)也许会感到陌生,但我们和它打交道的机会很多。例如,计算机、电视机、手机、网站、取款机等,数不胜数。除此之外在航空航天、星际飞行、医疗卫生、交通运输、武器装备等许多领域,几乎都离不开集成电路的应用。在当今世界,说它无孔不入并不过分。所谓集成电路,就是在一块极小的硅单晶片上,利用半导体工艺制作许多晶体二极管、三极管、电阻及电容等元件,连接成整体并完成特定电子技术功能的电子电路。从外观上看,它已成为一个不可分割的完整器件。集成电路在体积、重量、耗电、寿命、可靠性及电性能方面远远优于晶体管元件组成的电路。
在当今这个信息化社会中,集成电路已成为各行各业实现信息化、智能化的基础。无论是在军事还是民用上,它都起着不可替代的作用。集成电路产业是全球范围内的核心高科技产业之一,具有战略性和市场性的双重特性。在国防和国家安全领域,集成电路起着维护国家利益,捍卫国家主权的关键作用;在经济建设和增强综合国力的过程中,集成电路又是核心竞争力的具体表现。自20世纪中期以来,集成电路产业遵循摩尔定律飞速发展。集成电路产业的兴起奠定了现代信息技术的基石,没有半导体技术突飞猛进的发展就没有信息技术日新月异的变化。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
电子设计自动化(EDA)工具主要是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术新成果而研制成的电子辅助软件包。该软件包可以使设计者在虚拟的计算机环境中进行早期的设计验证,有效缩短电路实体迭代验证的时间,提高集成电路芯片设计的成功率。一款成功的集成电路芯片源于无数工程师成功的设计,而成功的设计在很大程度上又取决于有效、成熟的集成电路EDA设计工具。本书根据普通高校微电子学与固体电子学(集成电路设计)专业的课堂教学和实验要求,以提高实际工程设计能力为目的,采取循序渐进的方式,介绍进行CMOS集成电路设计的EDA工具。主要分为EDA设计工具概述、模拟集成电路EDA技术和数字集成电路EDA技术三大部分。在模拟集成电路方面,依据模拟集成电路:电路前仿真—物理版图设计—参数提取及后仿真的设计流程,详细介绍了包括电路设计及仿真工具CadenceSpectre、版图设计工具CadenceVirtusuo、版图验证及参数提取工具MentorCalibre在内各工具的基本知识和使用方法。数字集成电路方面,根据代码仿真、逻辑综合、数字后端物理层设计流程,依次介绍RTL仿真工具Modelsim、逻辑综合工具DesignCompiler、数字后端版图工具ICCompiler和Encounter四大类设计工具。书中配以电路设计实例进一步分析各种EDA工具的设计输入方法和技巧,形成一套完整的CMOS集成电路设计工具流程。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值