Modelsim LPM(fifo, PLL)仿真关注

在用第三方软件:Modelsim对Quartus II LPM进行仿真的时候,要加入例化生成的.v文件,以及在simulation的时候加入altera的库,如下:

image

image

image

(顺便说一句,Modelsim中testbench顶层文件只能有一个。。。。书上都没说的。。)

 

LPM – PLL 注意:

今天在用Modelsim做一个后仿真的时候,发现PLL的没有输出,在设定不同的测试时钟频率的时候,出现一下三种情况:

(1)当输入时钟周期小于在例化PLL时选择的输入时钟周期时,在运行仿真时,会出现以下警告信息:

      Warning : Input clock freq. is under VCO range. Cyclone III PLL may lose lock

(2)当输入时钟周期大于在例化PLL时选择的输入时钟周期时,在运行仿真时,会出现以下警告信息:

      Warning : Input clock freq. is over VCO range. Cyclone III PLL may lose lock

      这上面这两种情况下,PLL都不会正常工作。如果出现上面第一种情况,可以将输入测试时钟的周期设置大一些。如果出现第二种情况,可以将输入测试时钟的周期设置小一些。

(3)当输入时钟周期等于在例化PLL时选择的输入时钟周期时,在运行仿真时,会出现以下信息:

      Note : Cyclone III PLL locked to incoming clock

      这有这种情况下PLL才能正常工作!正常工作note如下

image

波形仿真如下:

image

 

顺便晒晒modelsim的艺术:

image

image

image

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值