自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(149)
  • 资源 (6)
  • 收藏
  • 关注

原创 网址

 网址:关于硬件加速的文章:http://www.pldesignline.com/showArticle.jhtml;jsessionid=TNT2QXBPEXK5CQSNDLQSKHSCJUNN2JVN?articleID=201800344 新概念二http://www.7en.cn/download/listening/200609/14469.html 硬件工程师之家:h

2007-09-04 11:06:00 2030

原创 中创09校园招聘试题

选择题1、下列哪个标志符不正确 (A)@name  (B) #table (C)deptname (D)@user name 2、T-SQL 游标一次可以提取几行记录 (A)1  (B) 多行 (C) A、B都行 3、下面声明游标的说法哪个不正确 (A)可以在CURSOR关键字左边指定其他关键字  (B) 可以在CURSOR关键字右边指定其他关键字 (C)可以同

2009-02-28 15:37:00 1383 1

原创 转载--ZTE相关信息

 深圳研究所 汇集了移动、CDMA、传输、网络、多媒体、数据中试、结构等多个研发方向。 V …i©a¡Lbšwww.hwzte.comæg/ññª™a¨è西安研究所 从事移动、手机、CDMA、传输、硬件、IT等研究方向;V …i©a¡Lbšwww.hwzte.comæg/ññª™a¨è南京研究所 从事手机、网络、3G、路由器、IC研发、结构等研究方向;V …i©a¡Lbšwww.hwzte.c

2008-12-02 19:43:00 4544 1

原创 数据通信基础知识

一、概述    本章主要介绍数据通信的一些基础知识,包括数据通信模型、常用传输介质的构成和特性、编码技术以及数据传输技术,在难点分析中讲述了读者应了解和掌握的具体知识点,以期使读者对计算机网络的硬件连接技术和与之相关的通信技术的概念、实现原理、特点与应用领域有一定的认识。二、基本概念1.数据通信模型数据通信模型一般包括:源、发送器、传输系统、接收器和目的站,如图1-l所示。

2008-09-21 20:03:00 2855

原创 数据通讯基础知识

 一、数据及计算机通信术语      ●数据(Data):传递(携带)信息的实体。      ●信息(Information):是数据的内容或解释。      ●信号(Signal):数据的物理量编码(通常为电编码),数据以信号的形式传播。       ●模拟信号与数字信号      ●基带(Base band)与宽带(Broad band)      ●信道(Channel):传送信息的线路

2008-09-21 18:58:00 3391

转载 异步FIFO在系统中的使用

 在嵌入式系统中,我们一般使用具有较强处理能力的微处理器,配合使用嵌入式操作系统来实现项目或者产品的设计。但在实际设计硬件或者是系统硬件平台设计时,经常会使用一些低速率的器件/外设。而这这些低速设备对于微处理和操作系统而言是异步事件。因此,需要使用中断触发。        对于带有操作系统与不带操作系统的系统比较,我们会发现,操作系统为我们的程序设计、维护和CPU的效率是带来了明显的好处和提高

2008-09-02 10:33:00 1427

原创 关于毛刺问题的探讨

毛刺问题是我们在PLD/FPGA设计中面临的最重要的挑战,只有深刻理解毛刺问题,才有可能真正掌握PLD/FPGA设计的精髓。 . 和可靠性有关的几个概念 1.建立时间和保持时间       建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来

2008-04-24 19:55:00 7170 1

原创 VHDL编程设计技巧

简    介:介绍了在VHDL编程设计中,描述方法对电路结构的影响,不同的状态机描述方法,层次化设计的基本思想和原则,Block RAM的结构、VHDL程序、宽度和深度组合形式,基于IP Core的Block RAM设计,数字延迟锁相环(DLL,Delay Locked Loop)、全局时钟网络(Global Clock Networks)、DCM(数字时钟管理器,Digital Clock Ma

2008-04-20 11:18:00 4693

原创 quartus2 经常出现的警告

2007-07-17 14:40:24 在QuartusII下进行编译和仿真的时候,会出现一堆warning,有的可以忽略,有的却需要注意,虽然按F1可以了解关于该警告的帮助,但有时候帮助解释的仍然不清楚,大家群策群力,把自己知道和了解的一些关于警告的问题都说出来讨论一下,免得后来的人走弯路.    下面是我收集整理的一些,有些是自己的经验,有些是网友的,希望能给大家一点帮助,如有不

2008-03-29 14:23:00 4257 1

原创 32个最热CPLD-FPGA论坛

32个最热CPLD-FPGA论坛1. OPENCORES.ORG [52RD.com]这里提供非常多,非常好的PLD了内核,8051内核就可以在里面找到。[52RD.com]进入后,选择project或者由http//www.opencores.org/browse.cgi/by_category进入。[52RD.com]对于想了解这个行业动态人可以看看它的投票调查。[52RD.com]http:

2008-03-29 11:05:00 1711

原创 IT从业人员必看的10个论坛

IT方面的论坛太多了,有综合,有专业,有行业,在各个论坛里混了几年,体会颇深,以前是论坛哪里人多,往哪里去,新浪论坛,网易是经常去的,人多啊,好几十万,去了以后才发现没有意思,没有共同的语言,于是逛专业论坛,行业论坛,终于找到了共同语言,总结一下论坛的经验:人不在多,有仙则灵,贴不在多,有精则行,逛了大半辈子,一个IT人发展方向一定要除了技术外,业务,理论,思想一个都不能少,于是我推荐如下这些论坛

2008-03-29 10:38:00 1150

原创 帮忙看看这段程序啊

帮忙看看这段程序啊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity san isport(clk:in std_logic;     sanut std_logic_vector(7 downto 0));end;architec

2008-03-28 16:14:00 1834 1

原创 Quartus警告分析!

1.Found clock-sensitive change during active clock edge at time on register "" 原因:vector source file中时钟敏感信号(如:数据,允许端,清零,同步加载等)在时钟的边缘同时变化。而时钟敏感信号是不能在时钟边沿变化的

2008-03-28 15:15:00 1777

原创 Quartus常见错误分析

1 Warning: VHDL Process Statement warning at random.vhd(18): signal reset is in statement, but is not in sensitivity list ----没把singal放到process()中

2008-03-28 14:43:00 5623

原创 VHDL编程的一些心得体会(转载)

VHDL是由美国国防部为描述电子电路所开发的一种语言,其全称为(Very High Speed Integrated Circuit) Hardware Description Language。 与另外一门硬件描述语言Verilog HDL相比,VHDL更善于描述高层的一些设计,包括系统级(算法、数据通路、控制)和行为级(寄存器传输级),而且VHDL具有设计重用、大型设计能力、可读性强、易于编译

2008-03-21 11:09:00 2394

原创 IT开发工程师的悲哀

    就要毕业了,现在出来实习,以前在学校里学的东西现在要带到公司里去,不知道以后面临的是什么,突然的有种感觉就是好怀念学生时代,真的,也许学生时代真的是要结束了!    新的开始,新的一切,新的挑战,全新的自我!!!!!!!!!!!!!!!!!!!! 

2008-03-17 20:23:00 971

原创 学习HDL的几点重要提示

1.了解HDL的可综合性问题: HDL有两种用途:系统仿真和硬件实现。 如果程序只用于仿真,那么几乎所有的语法和编程方法都可以使用。但如果我们的程序是用于硬件实现(例如:用于FPGA设计),那么我们就必须保证程序“可综合”(程序的功能可以用硬件电路实现)。不可综合的HDL语句在软件综合时将被忽略或者报错。我们应当牢记一点:“所有的HDL描述都可以用于仿真,但不是所有的HDL描述都能用硬件实现。”

2008-03-17 20:20:00 1401

原创 ] FPGA设计需注意的方方面面

不管你是一名逻辑设计师、硬件工程师或系统工程师,甚或拥有所有这些头衔,只要你在任何一种高速和多协议的复杂系统中使用了FPGA,你就很可能需要努力解决好器件配置、电源管理、IP集成、信号完整性和其他的一些关键设计问题。不过,你不必独自面对这些挑战,因为在当前业内领先的FPGA公司里工作的应用工程师每天都会面对这些问题,而且他们已经提出了一些将令你的设计工作变得更轻松的设计指导原则和解决方案。 I

2008-03-17 20:16:00 1568

原创 一篇很好的文章,学verilog的可以好好看看!(转载

规范很重要[52RD.com][52RD.com]   工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件[52RD.com]还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的[52RD.com]话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,[52RD.com]更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得

2008-03-17 20:15:00 2800 4

原创 FPGA设计中关键问题的研究

随着FPGA(Field Programmable Gate Array)容量、功能以及可靠性的提高,其在现代数字通信系统中的应用日渐广泛。采用FPGA设计数字电路已经成为数字电路系统领域的主要设计方式之一 [1]。在信号的处理和整个系统的控制中,FPGA不但能大大缩减电路的体积,提高电路的稳定性,而且其先进的开发工具使整个系统的设计调试周期大大缩短 [2~3]。本文结合作者的经验和体会,指出FP

2008-03-17 20:10:00 1824

原创 verilog开发经验。

规范很重要       工作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件还是硬件),不按照规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的话,过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得从头开始设计;如果需要在原来的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用

2008-03-17 20:08:00 2994 1

原创 Verilog讨论组精彩内容摘录

问题:  我遇到了一个问题,希望能得到帮助。  我在用FPGA(ALTERA 10K30)做仿真实验时,内部的计数器总是计数不正常,但是我在微机中用ModelSim仿真的结果是正确的,所以逻辑应该没有问题,问题出在FPGA,请教各位,我该如何解决这个问题。谢谢!  I met a question,hope someone could do me a favor.  when I used FPG

2008-03-17 20:05:00 1113

原创 FPGA系统设计实战经验分享-硬件篇

这个帖子主要和大家介绍一些我们在FPGA硬件系统设计过程中遇到的问题和解决的方法。也欢迎大家一起参与讨论。主要涉及以下几个方面:1。芯片的选型  包括FPGA芯片的选型原则,外围芯片,比如存储器,电源,接口芯片等等选择的依据。我们会给大家推荐一些性能好,价格便宜而且好买到的芯片,节省你查询芯片的时间。2。原理图设计技巧  包括如果兼容不同型号的FPGA,保证系统设计的升级空间等。3。PCB的设计基

2008-03-17 20:03:00 2425

原创 [转帖]我的FPGA开发体会

上次我写了篇体会,是做了一个项目后的,今天再谈谈我的体会,希望和大家共同学习. 1)在做项目前我对VHDL一无所知,心想是门语言而已,应该不太难,因为我的C/Assembly都是自学的,总把它想象成MCU那么容易,所以也就决定接项目做,谁知一碰才发现自己全错了,这种并行语言语法虽然简单,但之间的关系却复杂的多,我混头了;但既然自己已无法回头就硬着头皮往下做; 2)于是我就上网看论坛,所以先特别谢谢

2008-03-17 20:00:00 1233 1

原创 FPGA设计经验教训杂谈

做FPGA设计的工作也有一段时间了,有过问题迎刃而解的快乐,也有过苦苦寻求结果和答案的痛苦历程.现在就把我个人曾经在项目中经常遇到的问题和犯的错误总结一下.希望对大家有启示和帮助:1)FPGA和其他电路的接口部分的时序要处理好,要考虑到信号进入FPGA之前的线路延迟.要想清楚进入FPGA的数据和时钟的相位关系.2) 若FPGA设计中,有全数字锁相环,那么要用示波器测试一下全数字锁相环是否锁定.保证

2008-03-17 20:00:00 1059

原创 ARM、DSP、FPGA的技术特点和区别是什么

ARM(Advanced RISC Machines)是微处理器行业的一家知名企业,设计了大量高性能、廉价、耗能低的RISC处理器、相关技术及软件。ARM架构是面向低预算市场设计的第一款RISC微处理器,基本是32位单片机的行业标准,它提供一系列内核、体系扩展、微处理器和系统芯片方案,四个功能模块可供生产厂商根据不同用户的要求来配置生产。由于所有产品均采用一个通用的软件体系,所以相同的软件可在所有

2008-03-17 19:59:00 1121

原创 FPGA/CPLD数字电路设计经验分享

1.4 触发器和所存器:我们知道,触发器是在时钟的沿进行数据的锁存的,而所存器是用电平使能来锁存数据的。所以触发器的Q输出端在每一个时钟沿都会被更新,而所存器只能在使能电平有效器件才会被更新。在FPGA设计中建议如果不是必须那么应该尽量使用触发器而不是所存器。那么在使用硬件描述语言进行电路设计的时候如何区分触发器和所存器的描述方法哪?其实有不少人在使用的过程中可能并没有特意区分过,所以也忽略了二者

2008-03-17 19:56:00 2571

原创 初学VHDL的一点心得。。。。

1、在时序电路系统中,主时钟是电路各组成部件的唯一动力,也就是说在主时钟的驱动下,各电路部件才运行起来。2、在VHDL程序中,各条语句是同时执行的,这一点不同于微处理器,微处理器是顺序执行的。3、一个信号量只能在一个进程中被改变,而在其他进程中只能被引用。这一点也不同于微处理器。4、在一个进程中,不能同时对时钟的上升沿和下降沿进行处理,而应该分成两 进程处理。5、有流水线处理时,要有一个全局信号量

2008-03-17 19:55:00 1956 1

原创 C语言之typedef的问题

1. 基本解释  typedef为C语言的关键字,作用是为一种数据类型定义一个新名字。这里的数据类型包括内部数据类型(int,char等)和自定义的数据类型(struct等)。  在编程中使用typedef目的一般有两个,一个是给变量一个易记且意义明确的新名字,另一个是简化一些比较复杂的类型声明。  至于typedef有什么微妙之处,请你接着看下面对几个问题的具体阐述。  2. t

2008-03-17 17:30:00 791

原创 开发人员需要知道的东西杂谈

鉴于经常看到很多傻傻的问题,比如xx语言干什么用的,xxx语言是不是落伍了?(不过说实在的,这些问题初学者都会有.) 我在这里说说开发人员应该知道的一些东西。但是这些只是我在平日里看到和想到的。难免有所偏差,请见谅.    软件开发,是一个综合性的活计。软件开发,并不仅仅是编写代码.学会了用c这些编程语言进行编程只是第一步,一个最最基本要求。其他要的东西还多着呢。在我看来,程序员大致可以分为两类.

2008-03-17 17:28:00 551

原创 VHDL编程的一些心得体会

VHDL是由美国国防部为描述电子电路所开发的一种语言,其全称为(Very High Speed Integrated Circuit) Hardware Description Language。 与另外一门硬件描述语言Verilog HDL相比,VHDL更善于描述高层的一些设计,包括系统级(算法、数据通路、控制)和行为级(寄存器传输级),而且VHDL具有设计重用、大型设计能力、可读性强、易于编译

2008-03-17 17:26:00 2293

原创 遗传算法导论

遗传算法导论by Marek Obitko, student of Czech Technical University.王郑耀 翻译 原文为英语版本,你可以在这里找到.你也可以在这里下载到PDF格式的英语版本的文件. --------------------------------------------------------------------------------这里我想介绍一下遗传

2008-01-18 17:22:00 2228

原创 【分享】基本遗传算法源程序

/******************************************************************//* 基于基本遗传算法的函数最优化 SGA.C *//* A Function Optimizer using Simple Genetic Algorithm *//* developed from the Pascal SGA code presented b

2008-01-01 15:48:00 2647 2

原创 了解遗传算法

遗传算法是一种最优化算法,所谓最优化问题,就是这样一类问题,满足它的解(称为可行解)有很多(通常是极多)对于每一种解有一个评价函数得到一个评价值,也就确定了解集的一个偏序关系,在这个偏序关系的求最小值(或最大值)或者近似最小值(或最大值)。因为通常可行解非常之多,所以确定性算法很难做到这一点,而遗传算法是模拟了生物学中物种进化的过程的一种最优化算法,简单来说,遗传算法=遗传操作+遗传选择。 

2007-12-30 19:14:00 1223

原创 遗传算法介绍(内含实例)

 现代生物遗传学中描述的生物进化理论:遗传物质的主要载体是染色体(chromsome),染色体主要由DNA和蛋白质组成。其中DNA为最主要的遗传物质。基因(gene)是有遗传效应的片断,它存储着遗传信息,可以准确地复制,也能发生突变,并可通过控制蛋白质的合成而控制生物的状态.生物自身通过对基因的复制(reproduction)和交叉(crossover,即基因分离,基因组合和基因连锁互换)的操

2007-12-30 19:08:00 1563 1

原创 产生不重复的随机数(转载)

在计算机中并没有一个真正的随机数发生器,但是可以做到使产生的数字重复率很低,这样看起来好象是真正的随机数,实现这一功能的程序叫伪随机数发生器。有关如何产生随机数的理论有许多,如果要详细地讨论,需要厚厚的一本书的篇幅。不管用什么方法实现随机数发生器,都必须给它提供一个名为“种子”的初始值。而且这个值最好是随机的,或者至少这个值是伪随机的。“种子”的值通常是用快速计数寄存器或移位寄存器来生成的。下面讲

2007-12-30 16:26:00 13972

转载 UART

UART: Universal Asynchronous Receiver/Transmitter,通用异步接收/发送装置,UART是一个并行输入成为串行输出的芯片,通常集成在主板上,多数是16550AFN芯片。因为计算机内部采用并行数据数据,不能直接把数据发到Modem,必须经过UART整理才能进行异步传输,其过程为:CPU先把准备写入串行设备的数据放到UART的寄存器(临时内存块)中,再通过F

2007-12-25 11:18:00 1627

原创 逻辑模拟和故障模拟

 逻辑模拟是指计算机模拟计算给定的电路在给定的输入向量下电路中的各种引线的稳定逻辑值。逻辑模拟常用于设计验证中,它的各种基本理论是故障模拟的基础。故障模拟是指在给定的输入向量下用计算机模拟计算电路中确定的故障时候的响应,目的是为了考察输入向量能否检测出给定的故障。在进行逻辑模拟和故障模拟前要对电路做一些理论方面的分析简化。引线分级,电路层次化,为以后分析简化提供一个结构化模型。信号状态的模

2007-12-24 14:44:00 2282

原创 集成电路测试简单介绍

测试的目的:1,检验出不合格产品。2,如果不合格,找出问题点。如果一个产品没有通过测试,可以通过一些方面来判定问题的原因。1)测试本身的问题;2)设计的问题;3)制造的问题;4)specification 的问题。测试本身的权威性往往是设计人员所挑战的,所以对于测试人员来说要对集成电路测试有充分的了解。集成电路中测试主要包括两种:设计验证和量产测试两者分别对应不同的目的,设计验

2007-12-24 14:40:00 3455

转载 Automatic Test Pattern Genaration(ATPG)

 Automatic Test Pattern Genaration(ATPG)自动测试图形向量生成是在半导体电器测试中使用的测试图形向量由程序自动生成的过程。测试向量按顺序地加载与器件的输入脚上,输出的信号被收集并与预算好的测试向量相比较从而判断测试的结果。ATPG有效性是衡量测试错误覆盖率的重要指标。        一个ATPG的周期可以分为两个阶段:    1、测试的生成    2、测试的应

2007-12-24 14:35:00 3735

vc++与matlab混合编程的快速实现

vc++与matlab混合编程的快速实现

2007-08-30

c++语言学习经验集合

c++语言学习经验集合,讲述多人的编程经验!

2007-08-30

c++应用编程200例

c++应用编程200例多个实例编程,尽在其中!!

2007-08-30

USB 2.0 规范(English)

USB 2.0 规范协议(English),敬请下载!

2007-08-29

SM卡规范协议

SM卡规范协议详细介绍

2007-08-28

usb中文协议

usb中文协议相关详细介绍

2007-08-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除