c语言三角波的mif文件,EDA课程设计报告-正弦波信号发生器的设计.doc

#includemain()

{

int i;

float s;

for(i=0;i<256;i++)

{

s=i;

printf("%d :%d;\n",i,s);

}

}

以sj.c保存。

其次,把上述程序编译后,会生成EXE文件,在DOS命令行下分别执行以下命令:

zx > zx.mif;

sj > sj.mif;

将生成的*.mif 文件,再加上.mif文件的头部说明即可。

.mif文件的头部说明如下所示:

WIDTH=8;

DEPTH=256;

ADDRESS_RADIX=DEC;

DATA_RADIX=DEC;

CONTENT BEGIN

……

……(数据略去)

END;

2、 在设计信号发生器前,必须首先完成存放波形数据ROM的设计。设计步骤如下:

1) 打开QUARTUS Ⅱ。在files菜单中选择new产生一个对话框,选择Block Diagram/Schematic File 项,会生成一个*.bdf文件,双击文件空白处,

跳出symbol窗口,在改窗口下选择megafunctions>storage>lpm_rom。

2) 在跳出的 MegaWizard Plug-In Manager中选

择VHDL,路径保存在D:\chengxv\中

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值