matlab 如何检测到矩形的四个角点坐标_基于FPGA的人脸检测

该博客介绍了如何将MATLAB中的肤色检测算法移植到FPGA上,用于实现人脸检测。首先进行RGB到YCbCr色彩空间转换,接着通过肤色阈值进行二值化。闭运算被用来清除图像内部空洞,然后框选出白色区域作为人脸。在FPGA上,通过实时检测和更新四个顶点坐标来实现人脸框选,确保视频流处理的同步。博主提供了工程文件的获取方式。
摘要由CSDN通过智能技术生成

本设计是基于肤色检测的基础上进行的人脸检测,上一篇用MATLAB实现了对人脸的框选代码实现,根据这个原理,博主将这个算法移植到FPGA上,用纯逻辑来实现。FPGA的并行处理优势,在视频图像采集系统中的进行简单的预处理,这个系统主要分为四个部分,视频图像采集、图像存储、算法处理、图像显示。

到了一定阶段,移植也是一种能力。这句话不是我说的,我从书上看到的。老话说的好,何必重复造轮子。好吧,我想说的是,这个工程中部分代码是我移植过来的。

摄像头使用的OV7725,配置和采集部分是移植过来的,SDRAM控制是我之前自己调试的一个,频率跑到了100MHz没啥问题。

整个设计人脸检测一共分为以下几步,

  • RGB到YCbCr色彩空间转换

  • Cb、Cr阈值分离肤色二值化

  • 先膨胀后腐蚀(闭运算)

  • 人脸区域框选

肤色识别

简单来说就是通过皮肤的颜色来判断图片中你脸的为止,一副图片有RGB三分量的颜色组成,将RGB色彩空间转换成YCbCr色彩空间上,肤色的判断就可以用Cb和Cr分量的阈值来判断。所以您看下文的视频,把我的小手也圈进去啦!

肤色识别YCbCr阈值

77 < Cb < 127

133 < Cr < 173

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值