![](https://img-blog.csdnimg.cn/20190927151053287.png?x-oss-process=image/resize,m_fixed,h_224,w_224)
IC验证学习-自学芯片验证
文章平均质量分 55
ic验证,学习哪些内容,自学芯片验证怎么入门
小白蒋博客
这个作者很懒,什么都没留下…
展开
-
新人怎么学习ic验证,芯片验证怎么学习入门
新人怎么学习ic验证,芯片验证怎么学习入门!原创 2023-03-11 11:07:32 · 837 阅读 · 1 评论 -
自学IC验证,转行芯片验证,需要自学什么内容,怎么去学习?材料研究生转行
ic验证自学,应该学习哪些内容,学习芯片验证,应该掌握哪些技能?原创 2023-03-09 22:49:59 · 710 阅读 · 0 评论 -
I2c中的10位地址Start Byte
7位宽的地址空间理论上允许128个I2C地址,然而,一些地址被保留用于特殊目的。因此,在7位地址方案中,只有112个地址可用。为了消除这种情况,定义了一种使用10位地址的特殊方法。10 bit adresses, binary noted, MSB is left Purpose0000000 0 General Call0000000 1 Start Byte0000001 X CBUS Addresses0000010 X Reserved for Different Bus For原创 2021-02-03 14:29:24 · 1555 阅读 · 0 评论 -
最全的一篇文章,介绍i2c总线的概念和特性,一看就会
i2c总线的由来:在消费者电子、电讯和工业电子钟,看上去不相关的设计里经常有很多相似的地方。例如,几个每个系统都包括:一些智能控制,通常是一个单片的微控制器;通用电路,例如LCD驱动器、远程I/O口,RAM、EEPROM或数据转换器。面向应用的电路,譬如收音机和视频系统的数字调谐和信号处理电路,或者是音频拨号电话的DTMF发生器;为了使这些相似之处对系统设计者和器件厂商都得益,而且使硬件效益最大电路最简单,PHIPS公司开了一个简单的双向两线总线,实现有效的IC(integrated circ原创 2020-09-07 14:57:38 · 1261 阅读 · 0 评论 -
svn使用-常用命令教程
本文内容SVN简介SVN中的一些概念常用指令将文件checkout到本项目,svn checkout path (path是服务器上的目录)往版本库中添加新的文件将改动的文件提交到版本库更新到某个版本查看文件或者目录状态删除文件查看日志查看文件详细信息比较差异将两个版本之间的差异合并到当前文件svn帮助SVN简介subversion(SVN)是一个开源的版本控制系统。在Subversion管理下,文件和目录可以超越时空。Subversion将文件存放在中心版本库里(repository),这个版本库原创 2020-07-31 11:20:15 · 567 阅读 · 0 评论 -
VCS生成代码覆盖率和功能覆盖率
生成代码覆盖率vcs -sverilog covergroup.sv -cm line+cond+fsm+tgl -debug_all -R -Mupdatevcs -cm_pp -cm_dir simv.cm -cm_name XXX -cm_report summary生成功能覆盖率urg -dir simv.vdb -formal both -report coverage原创 2020-07-31 08:49:51 · 2840 阅读 · 1 评论 -
systemverilog中random用法-有符号数和无符号数-四值逻辑
作者:小白蒋所有的努力都是为了做个小白,单纯且快乐~本文内容一、系统函数`$random`二、系统函数`$random`的用法1、$random % b2、{$random} % b三、有符号数和无符号数、四值逻辑一、系统函数$random产生随机数,当函数被调用时,返回一个32位的随机数;二、系统函数$random的用法1、$random % b$random % b,其中b > 0,它给出了一个范围在 -b+1 ~ b-1中的随机数。代码演示:random_exercise.sv原创 2020-07-24 17:41:24 · 6880 阅读 · 0 评论 -
SystemVerilog基于覆盖率驱动验证技术(CDV)和生成-查看覆盖率报告
作者:小白蒋所有的努力都是为了做个小白,单纯且快乐~本文内容基于覆盖率驱动的验证技术(CDV):代码覆盖率:断言覆盖率定义功能覆盖率模型covergroup解释功能覆盖率的采样事件定义覆盖点:bins定义覆盖点:条件覆盖定义覆盖点:状态跳转覆盖定义覆盖点:交叉覆盖生成覆盖率报告和查看覆盖率报告:基于覆盖率驱动的验证技术(CDV):覆盖率是对RTL设计功能进行验证后达到的覆盖百分比(1)检查过程需满足完整性,就是cover到文档中所有功能;(2)满足正确性;代码覆盖率:衡量测试案例验证-覆盖了原创 2020-07-24 09:54:15 · 4198 阅读 · 0 评论 -
systemverilog中task和function的解释以及引用(reference)的理解
作者:小白蒋,本人刚开始学习,如果错误,大家留言交流task和function区别:1、function不消耗仿真时间:也就是不能有仿真时间延迟(#100)、不能有阻塞语句(@posedge clock)或者wait(ready)、不能有事件event,不能调用task,task可以消耗仿真时间;2、void function没有返回值(output和inout形式参数可以为void function提供了传递变量的途径),Verilog function必须要有一个返回值;注意点:使用引用(ref原创 2020-07-20 21:48:17 · 5604 阅读 · 0 评论 -
makefile命令生成代码覆盖率文件
Makefile文件:编写生成代码覆盖率文件的命令,用的是Questasimall: lib com sim covlib: vlib workcomp: vlog -sv +cover=bestfc +define+ASSERT mem_if.sv memory_model.sv memory_ctrl test.sv top.sv -l com.logsim: vsim -novopt -coverage -l sim.log top -do "log -r *; run -all;原创 2020-07-17 14:12:35 · 1628 阅读 · 2 评论