自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

oldmoney

记录学习轨迹

  • 博客(666)
  • 资源 (1)
  • 收藏
  • 关注

原创 vitis platform creation

Platforms。

2024-04-26 16:17:56 493

原创 AIE流程

分为hw platform 和 sw platform。

2024-04-24 20:21:42 402

原创 Error in system verilog 2012 Reference guide regarding non-blocking in always_comb ?

non-blocking-in-always-comb

2024-04-16 14:02:17 363

原创 always 上升沿采样

认为采样数据的数据前一个周期的数据

2024-04-10 11:35:49 105

原创 axi full slave verify with vip tb

【代码】axi full slave verify with vip tb。

2024-04-09 09:24:52 374

原创 axi vip

【代码】axi vip。

2024-04-08 09:17:40 83

原创 xilinx 论坛关于axi vip使用

xilinx 论坛关于axi vip使用

2024-03-31 20:16:56 104

原创 axi blog from LogicJitterGibbs​/ljgibbs

AMBA Learning Hub

2024-03-31 20:16:02 68

原创 axi4资料

System-on-Chip bus: AXI4 simplified and explainedAXI Protocol Overview

2024-03-29 11:10:54 103

原创 axi4 W可以在AW前面

可以先发W 然后 再发 AW;

2024-03-27 16:36:12 214

原创 versal pcie/gty quad location debug

qdma的pcie 不能都放在同样的位置。

2024-03-15 09:31:02 368

原创 verilog中定义 enum 状态机

【代码】verilog中定义 enum 状态机。

2024-02-21 16:58:33 390

原创 nvme doorbell机制

首先,如前所示,它记住了SQ和CQ的头和尾。对CQ来说,SSD是生产者,它很清楚CQ的尾巴在哪里,所以CQ Tail DB由自己更新,但是SSD不知道Host处理了多少条命令完成信息,需要Host告知,因此CQ Head DB由Host更新。对一个SQ来说,它的生产者是Host,因为它往SQ的Tail位置写入命令,消费者是SSD,因为它往SQ的Head取出指令执行;对一个CQ来说,刚好相反,生产者是SSD,因为它往CQ的Tail写入命令完成信息,消费者则是Host,它从CQ的Head取出命令完成信息。

2024-02-20 13:34:53 1044

原创 【regex】正则表达式

vim +需要转义, perl/python中+不需要转义。

2024-02-18 12:05:54 568

原创 chisel 版本 build.sbt

【代码】chisel 版本 build.sbt。

2024-02-07 15:44:11 376

原创 vlsi verify async fifo

https://vlsiverify.com/verilog/verilog-codes/asynchronous-fifo/

2024-02-07 12:38:38 349

原创 在verilog中保留chisel中的注释

【代码】在verilog中保留chisel中的注释。

2024-02-05 10:20:19 1315

原创 chisel tutorial solution examples

【代码】chisel tutorial solution examples。

2024-02-04 16:41:27 341

原创 chisel tutorial examples

【代码】chisel tutorial examples。

2024-02-04 16:29:33 334

原创 chisel fifo

【代码】chisel fifo。

2024-02-04 15:23:30 359

原创 chisel ram/mem

【代码】chisel ram/mem。

2024-02-04 15:01:18 332

原创 chisel ROM

==

2024-02-04 14:55:43 356

原创 chisel RegInit/UInt/U

【代码】chisel RegInit/UInt/U。

2024-02-04 13:46:40 487

原创 chisel之scala 语法

Function可以被看作一个带parameter(参数)输入的expression block(记得上一节介绍的expression block吧)。例如,你可以定义一个名为double的函数,把输入的整形参数乘以2作为返回值。如下:上式中 x: Int表示输入parameter是一个Int类型,之后的第二个 : Int指出函数返回值也是Int类型。等号右边是一个expression,其返回值是输入参数乘以2。上面的代码可以简化成不包含返回类型,因为可以自动推导出返回类型是Int。

2024-02-03 14:56:21 1212 2

原创 chisel decoupled

在硬件设计中,尤其是在流水线(pipelining)和多阶段数据流设计中,解耦(decoupling)是一个重要的概念,它允许各个阶段独立工作,而不需要等待前一个阶段的完成。在Chisel中,Decoupled接口是一种常用的抽象,它代表了一个异步的、两路(push-pull)的接口。这种设计允许每个阶段独立于其他阶段的工作状态,从而可以更容易地实现复杂的流水线设计。总结来说,在Chisel语言中,使用Decoupled接口可以实现硬件组件之间的一种灵活且强大的异步数据流连接方式。

2024-02-03 14:22:12 395

原创 chisel 语法

【代码】chisel 语法。

2024-02-03 12:53:25 388

原创 【chisel】 环境,资料

太高了 没有chisel的库文件支持;会在sbt下载的过程中报错;chisel目前的一些状况,问题。根据上边的link去安装;目前scala最高版本用。

2024-02-03 09:47:53 318

原创 axi traffic generator

【代码】axi traffic generator。

2024-02-01 17:23:39 352

原创 verible (verible-verilog-format)

Verible 是一套 SystemVerilog / verilog 开发工具,包括解析器、样式检查器、格式化程序和语言服务器。这里为主要分享关于格式化工具verible-verilog-format的使用。用来格式化verilog代码,实现代码风格统一。verible 项目托管于github,项目地址:https://github.com/chipsalliance/verible。也有vscode插件。

2024-02-01 12:35:30 621

原创 sv program module

为了避免races,在验证中引入program;

2024-01-30 16:45:04 806

原创 icarus verilog makefile

【代码】icarus verilog makefile。

2024-01-30 14:07:55 354

原创 modelsim vlog option

【代码】modelsim vlog option。

2024-01-25 16:35:44 835

原创 vsim选项 option

命令行模式,输出日志到vsim.log,开始仿真后运行DemoDo.do脚本文件,指定逻辑库work,work.foo是仿真的top level module。4、vsim之外的其他questa常用指令。一、Vsim选项整理。

2024-01-25 16:16:56 547

原创 Systemverilog | Test Bench Environment | Half Adder

https://www.youtube.com/watch?v=9ygbJ_rjZHUSystemverilog | Test Bench Environment | Half Adder

2024-01-21 10:33:06 382

原创 vivado 2023.2 linter

2024-01-19 16:16:21 587

原创 noc cci [versal]

noc cci。

2024-01-19 11:25:27 348

原创 【vivado】优化时序, 跑多轮place_design,phys_opt_design

【代码】【vivado】优化时序, 跑多轮place_design,phys_opt_design。

2024-01-17 13:42:35 468

原创 xsct xsdb 调试寄存器

老版本的vivado vitis 可能没有。

2024-01-16 18:01:02 448

原创 PS APU_FPD RPU_LPD system address map

PSAPU =FPDRPU=LPD。

2024-01-16 17:52:50 391

原创 [versal ] PS noc interface

pmc to noc。

2024-01-15 14:22:19 390

modelsim reference guide

modelsim reference guide

2024-01-23

uvm-cookbook2019.pdf

uvm_cookbook2019 mentor 官方资料

2021-01-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除