『SD』平铺纹理Tiling


theme: smartblue

点赞 + 关注 + 收藏 = 学会了

本文简介

现在,Stable Diffusion 也可以生成平铺图了。

平铺图是什么东东呢?它是一个可以无限拼接且不重叠、不留空隙的图片。

举个例子,我用 SD 生成一张内容是星星的平铺图。

01.png

这个图片看上去没啥特别,但如果我们把它横向和竖向无限拼接,它又真的可以拼接上喔。

上面这张图的分辨率是 512 * 512。我在 PS 创建一个 1024 * 1024 的画布,它可以容纳4张星星图片。

02.png

仔细看看图片,真的找不到拼接的缝隙。

动手操作

在新版的 SD WebUI 多了一个“平铺图(Tiling)”功能。

03.png

但这个功能默认并没有出现在界面里,需要我们手动配置。

进入“设置”模块。

04.png

在左侧导航栏到找到“UI便捷设置”。

05.png

在这个界面中,文生图和图生图的输入框都输入“tiling”,然后点击“保存设置”,再点击“重载UI”。

06.png

重新打开 SD WebUI 后就能在文生图和图生图界面中看到“平铺图(Tiling)”这个功能了。

选中这个功能,然后在提示词输入框输入想要生成的内容,点击生成按钮即可。

07.png

其他推荐方案

虽然 SD 可以生成平铺图,但出图质量一般,也没什么可控性可言。通常需要多次抽卡才会出现一张稍微满意的平铺图。

那有没有什么替代方案呢?

对于前端来说,可以使用 CSS 绘制这种平铺背景图,我在 《这18个网站能让你的页面背景炫酷起来》 里提到几个社区大神开源的数十种纯CSS实现的平铺图效果。

如果是UI设计师,可以在这个网站上传自己的 SVG 图标生成平铺图。

链接我放这:https://patterninja.com/


77.gif

点赞 + 关注 + 收藏 = 学会了

  • 5
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
图像平铺是一种常见的图像处理技术,其可将一张较小的图片平铺成一张较大的图片。在Verilog中实现图像平铺需要进行如下步骤: 1. 读取原始图像数据,将其存储到内存中; 2. 按照指定的倍数对图像进行水平和垂直方向的平铺; 3. 将平铺后的图像数据写入内存中; 4. 将内存中的图像数据输出到显示设备上。 下面是一个简单的Verilog代码示例,实现了对输入图像进行2x2的平铺: ``` module image_tiling ( input clk, input rst, input [7:0] image_in [0:31][0:31], // 输入图像数据 output reg [7:0] image_out [0:63][0:63] // 输出图像数据 ); reg [7:0] pixel [0:3][0:3]; // 存储4个像素点的数据 always @(posedge clk or posedge rst) begin if (rst) begin // 复位操作 // ... end else begin // 图像平铺操作 for (int i = 0; i < 64; i = i + 2) begin for (int j = 0; j < 64; j = j + 2) begin // 读取4个像素点的数据 for (int k = 0; k < 2; k = k + 1) begin for (int l = 0; l < 2; l = l + 1) begin pixel[k][l] <= image_in[i/2+k][j/2+l]; end end // 将4个像素点的数据平铺到输出图像中 for (int k = 0; k < 2; k = k + 1) begin for (int l = 0; l < 2; l = l + 1) begin image_out[i+k][j+l] <= pixel[k][l]; end end end end end end endmodule ``` 在代码中,我们定义了一个4x4的像素点缓存,用于存储每个平铺操作中的4个像素点数据。对于每个2x2的平铺操作,我们将4个像素点的数据读取到缓存中,并将其复制到输出图像的对应位置中,最终得到一个2倍大小的图像。其中,输入图像的大小为32x32,输出图像的大小为64x64。 需要注意的是,这只是一个简单的Verilog实现示例,实际应用中还需要考虑更多的因素,如图像大小、平铺倍数、内存容量等。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值