【Vue表单】v-model绑定复选框checkbox

7275569-a2bab1defa43d30d.png
微信订阅号:Rabbit_svip

v-model 绑定复选框,需要在数据模型中建一个数组用来存储。

并且在同一组复选框中,要用 v-model 绑定同一个数据模型。

代码如下

<template>
  <div id="app">
    <input type="checkbox" id="vue" value="Vue.js" v-model="course">Vue
    <input type="checkbox" id="react" value="React.js" v-model="course">React
    <input type="checkbox" id="angular" value="Angular.js" v-model="course">Angular
    <ul>
      <li v-for="(item, index) in course" :key="index">{{item}}</li>
    </ul>
  </div>
</template>

<script>
export default {
  name: 'app',
  data () {
    return {
      course: []
    }
  }
}
</script>

上面例子,有三个复选框,并且这三个复选框属于同一组。
所以给这三个 <input> 绑定同样的数据模型。
Vue会为我们检测到三个复选框有相同的数据模型。
然后自动合并这些复选框的值到设置的数组里。

被选中的选项,对应的value值会被添加到 course 数组里。

7275569-1f29ceed5a6d21a1.gif
微信订阅号:Rabbit_svip

被选中的顺序,会直接影响 course 里元素的排序。

li 里面,通过 v-for 循环输出复选框被选中的内容。
这里用的Vue版本是2.6,所以在使用 v-for 的时候要指明 key 值。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值