uvm 形式验证_UVM 实战 第二章总结 一个简单的UVM验证平台

一个简单的UVM验证平台

1:面向对象的编程语言的特性:封装(变量和methods在一个类里),继承(派生,子类拥有父类所有特性)和多态(调用同一个函数但最终状态不一样(必须是virtual methods))。

2:UVM是一个库,在这个库中,几乎所有的东西都是由类(class)来实现的。

3:当实现一个功能时,首先要想到的是从UVM的某个类派生出一个新的类,在这个新的类中实现所期望的功能。所以使用UVM的第一条原则是:验证平台的所有的组件应该派生自UVM中的类。

4:factory机制会自动实例化并按照顺序执行所有phase。所以所有派生自uvm_component及其派生的类都应该使用uvm_component_utils宏注册。

5:UVM中通过objection机制来控制验证平台的关闭。在每个phase中,UVM会检查是否有objection被提起(raise_objection),如果有,那么就待这个objection被撤销(drop_objection)后停止仿真,如果没有,则马上结束当前phase。

6:raise_objection语句必须在main_phase中第一个消耗仿真时间的语句之前。

7:绝对路径的使用大大减弱了验证平台的可移植性,因此,从根本上来说,应该尽量杜绝在验证平台中使用绝对路径,避免使用绝对路径有两种方法,一,使用宏(不推荐),二,使用interface(推荐)。

8:在类中使用的是virtual interface。但是UVM通过run_test语

  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值