UVM实战--加法器

前言

这里以UVM实战(张强)第二章为基础修改原有的DUT,将DUT修改为加法器,从而修改代码以使得更加深入的了解各个组件的类型和使用。

一. 组件的基本框架

在这里插入图片描述
和第二章的平台的主要区别点
(1)有两个transaction,一个为transaction_i,一个为transaction_o,由于DUT的输入输出值并不相同,输入为a,b,cin,输出为sum,cout。所以这里使用两个transaction,尤为注意my_model的输出需要使用transaction_o来运输。
(2)使用了两个monitor,一个为monitor_i,一个为monitor_o
(3)使用了两个agent,一个为agent_i,一个为agent_o,这样写is_active就不需要使用了

二.各个部分代码详解

2.1 DUT

module dut(
	input clk,
	input rst_n,
	
	input [7:0] a,
	input [7:0] b,
	input cin,
	input enable,
	
	output reg [7:0] sum,
	output reg cout
);

always @ (posedge clk or negedge rst_n)begin
if(!rst_n)begin
	sum <= 8'b0;
	cout <= 1'b0;
end
else if (enable)
	{cout,sum} <= a + b + cin;
else begin
	sum <= sum;
	cout <= cout;
end
end

2.2 my_driver

`ifndef MY_DRIVER__SV
`define MY_DRIVER__SV
class my_driver extends uvm_driver;
	virtual my_if vif;
	
	`uvm_component_utils(my_driver)
	function new(string name = "my_driver",uvm_component parent = null);
		super.new(name,parent);
	endfunction
	
	virtual function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		if(!uvm_config_db#(virtual my_if)::get(this,"","vif",vif))
			`uvm_fatal("my_driver","virtual interface must be set for vif!!!")
	endfunction

	extern task mian_phase(uvm_phase phase);
	extern task drive_one_pkt(my_transaction_i tr);
endclass

task my_driver::mian_phase(uvm_phase phase);
	vif.a <= 8'b0;
	vif.b <= 8,b0;
	vif.cin <= 1'b0;
	vif.enable <= 1'b0;
	while(1)begin
		seq_item_port.get_next_item(req);
		drive_one_pkt(req);
		seq_item_port.item_done();
	end
endtask
//(1)如何理解
task my_driver::drive_one_pkt(my_transcation_i tr);
	uvm_info("my_driver","begin to dirve one pkt",UVM_LOW);
	@(posedge vif.clk);
	vif.a <= tr.a;
	vif.b <= tr.b;
	vif.cin <= tr.cin;
	vif.enable <= 1'b1;
	`uvm_info("my_driver","end to drive one pkt",UVM_LOW);
endtask
`endif

(1)如何理解
这里只传递一个a,b,cin的值

2.3 my_transaction_i

`ifdenf MY_TRANSACTION_I__SV
`define MY_TRANSACTION_I__SV

class my_transaction_i extends uvm_sequence_item;
	
	rand bit [7:0] a;
	rand bit [7:0] b;
	rand bit cin;
	
	`uvm_object_utils_begin(my_transaction_i)
		`uvm_field_int(a,UVM_ALL_ON)
		`uvm_field_int(b,UVM_ALL_ON)
		`uvm_field_int(cin,UVM_ALL_ON)
	`uvm_object_utils_end

	function new(string name = "my_transaction_i");
		super.new();
	endfunction
endclass
`endif

2.4 my_transaction_o

`idndef MY_TRANSACTION_O__SV
`define MY_TRANSACTION_O__SV

class my_transaction_o extends uvm_sequence_item;
	
	 bit [7:0] sum;
	 bit cout;

	function new(string name = "my_transaction_o")
		super.new();
	endfunction
endclass
`endif

2.5 my_sequencer

`ifndef MY_SEQUENCER__SV
`define MY_SEQUENCER__SV

class my_sequencer extends uvm_sequencer #(my_transaction_i);
	
	function new(string name,uvm_component parent);
		super.new(name,parent);
	endfunction

	`uvm_component_utils(my_sequencer)
endclass
`endif

2.6 my_if

`ifndef MY_IF__SV
`define MY_IF__SV

interface my_if(input clk, input rst_n);

	logic [7:0] a;
	logic [7:0] b;
	logic cin;
	logic enable;
	logic [7:0] sum;
	logic cout;
	
endinterface
`endif

2.7 my_monitor_i

`ifndef MY_MONITOR_I__SV
`define MY_MONITOR_I__SV

class my_monitor_i extends uvm_monitor;
	
	virtual my_if vif;
	
	uvm_analysis_port #(my_transaction) ap;

	`uvm_component_utils(my_monitor_i)
	function new(string name = "monitor_i",uvm_component parent = null);
		super.new(name,parent);
	endfunction
	
	virtual function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		if(!uvm_config_db#(virtual my_if)::get(this,"","vif",vif))
			`uvm_fatal("my_monitor","virtual interface must be set for vif!!!")
		ap = new("ap",this);
	endfunction
	
	extern task main_phase(uvm_phase phase);
	extern task drive_one_pkt(my_transaction_i tr);
endclass

task my_monitor::main_phase(uvm_phase phase);
	my_transaction_i tr;
	while(1) begin
		tr.new("tr");
		collect_one_pkt(tr);
		ap.write(tr);
	end
endtask
		
task my_monitor::collcet_one_pkt(my_transaction_i tr);
	`uvm_info("my_monitor","begin to collcet one pkt",UVM_LOW);	
	@(posedge vif.clk);
	tr.a <= vif.a;
	tr.b <= vif.b;
	tr.cin <= vif.cin;
	tr.enable <= 1'b1;
	`uvm_info("my_monitor","end to collcet one pkt",UVM_LOW);
endtask
`endif

2.8 my_monitor_o

`ifndef MY_MONITOR_O__SV
`define MY_MONITOR_O__SV
class my_monitor extends uvm_monitor_o
	
	virtual my_if vif;
	
	uvm_analysis_port #(my_transaction) ap;
	
	`uvm_component_port #(my_transaction) ap;
	function new(string name = "my_monitor_o",uvm_component parent = null);
		super.new(name,parent);
	endfunction

	virtual function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		if(!uvm_config_db#(virtual my_if)::get(this,"","vif",vif))
			`uvm_fatal("my_monitor","virtual interface must be set for vif!!!")
		ap = new("ap",this);
	endfunction
		
	extern task main_phase(uvm_phase phase);
	extern task collcet_one_pkt(my_transaction_i tr);
endclass

task my_monitor::main_phase (uvm_phase phase);
	my_transaction_o tr;
	while(1) begin
		tr = new("tr")
		collcet_one_pkt(tr);
		ap.write(tr);
	end
endtask

task my_monitor::collcet_one_pkt(my_transction_o tr);
	`uvm_info("my_monitor_o","begin to collcet one pkt",UVM_LOW);
	@(posedge vif.clk)
	tr.sum <= vif.sum;
	tr.cout <= vif.cout;
	`uvm_info("my_monitor_o","end to collcet one pkt",UVM_ALL);
endtask
`endif

2.9 my_agent_i

`ifndef MY_AGENT_I__SV
`define MY_AGENT_I__SV

class my_agent_i extends uvm_agent;
	my_sequencer sqr;
	my_driver    drv;
	my_monitor_i mon_i;
	
	`uvm_analysis_port #(my_transaction_i) ap;
	
	function new(string name,uvm_component parent);
		super.new(name,parent);
	endfunction

	extern virtual function void build_phase(uvm_phase phase);
	extern virtual function void conncet_phase(uvm_phase phase);
	
	`uvm_component_utils(my_agent_i)
endclass

function void my_agent_i::build_phase(uvm_phase phase);
	super.build_phase(phase);
	sqr = my_sequencer::type_id::create("sqr",this);
	drv = my_driver::type_id::create("drv",this);
	mon_i = my_monitor_i::type_id::create("mon_i",this);
endfunction

function void my_agent_i::conncet_phase(uvm_phase phase);
	super.conncet_phase(phase);
	drv_seq_item_port.conncet(sqr.seq_item_export);
	ap = mon.ap;
endfunction
`endif

2.10 my_agent_o

`ifndef MY_AGENT_O__SV
`define MY_AGENT_O__SV

class my_agent_o extends uvm_agent;
	my_sequencer sqr;
	my_driver    drv;
	my_monitor_o mon_o;

	`uvm_analysis_port #(my_transaction_o) ap;
	
	function new(string name,uvm_component parent);
		super.new(name,parent);
	endfunction
	
	extern virtual function void build_phase(uvm_phase phase);
	extern virtual function void conncet_phase(uvm_phase phase);
	
	`uvm_component_utils(my_agent_o)
endclass

function void my_agent_o::build_phase(uvm_phase phase);
	super.build_phase(phase);
	mon_o = my_monitor_o::type_id::create("mon",this);
endfunction

function void my_agent_o::conncet_phase(uvm_phase phase);
	super.conncet_phase(phase);
	ap = mon.ap;
endfunction
`endif

2.11 my_model

`ifndef MY_MODEL__SV
`define MY_MODEL__SV

class my_model extends uvm_component;
	
	uvm_blocking_get_port #(my_transaction) port;
	uvm_analysis_port #(my_transaction) ap;
	
	
	extern function new(string name,uvm_component parent);
	extern function void build_phase(uvm_phase phase);
	extern virtual task main_phase(uvm_phase phase);
	`uvm_component_utils(my_model)
endclass

function my_model::new(string name,uvm_component parent);
	super.new(name,parent);
endfunction

function void my_model::build_phase(uvm_phase phase);
	super.build_phase(phase);
	port = new("port",this);
	ap = new("ap",this);
endfunction

task my_model::main_phase(uvm_phase phase);
	my_transaction_i tr;
	my_transaction_o tr2;
	bit [8:0] sum_total;
	super.main_phase(phase);
	while(1)begin
		port.get(tr);
		tr2 = new("tr2");
		sum_total = tr.a+tr.b+tr.cin;
		tr2.sum = sum_total[7:0];
		tr2.cout = sum_total[8];
		`uvm_info("my_model", "get transactions, add and print it:", UVM_LOW)
      	tr2.print();
		ap.write(tr2);
	end
endtask
`endif

2.12 my_scoreboard

`ifndef MY_SCOREBOARD__SV
`define MY_SCOREBOARD__SV
class my_scoreboard extends uvm_scoreboard;
   my_transaction  expect_queue[$];
   uvm_blocking_get_port #(my_transaction_i)  exp_port;
   uvm_blocking_get_port #(my_transaction_o)  act_port;
   `uvm_component_utils(my_scoreboard)

   extern function new(string name, uvm_component parent = null);
   extern virtual function void build_phase(uvm_phase phase);
   extern virtual task main_phase(uvm_phase phase);
endclass 

function my_scoreboard::new(string name, uvm_component parent = null);
   super.new(name, parent);
endfunction 

function void my_scoreboard::build_phase(uvm_phase phase);
   super.build_phase(phase);
   exp_port = new("exp_port", this);
   act_port = new("act_port", this);
endfunction 

task my_scoreboard::main_phase(uvm_phase phase);
   my_transaction_i  get_expect,  get_actual, tmp_tran;
   bit result;
 
   super.main_phase(phase);
   fork 
      while (1) begin
         exp_port.get(get_expect);
         expect_queue.push_back(get_expect);
      end
      while (1) begin
         act_port.get(get_actual);
         if(expect_queue.size() > 0) begin
            tmp_tran = expect_queue.pop_front();
            result = get_actual.compare(tmp_tran);
            if(result) begin 
               `uvm_info("my_scoreboard", "Compare SUCCESSFULLY", UVM_LOW);
            end
            else begin
               `uvm_error("my_scoreboard", "Compare FAILED");
               $display("the expect pkt is");
               tmp_tran.print();
               $display("the actual pkt is");
               get_actual.print();
            end
         end
         else begin
            `uvm_error("my_scoreboard", "Received from DUT, while Expect Queue is empty");
            $display("the unexpected pkt is");
            get_actual.print();
         end 
      end
   join
endtask
`endif

2.13 base_test

`ifndef BASE_TEST__SV
`define BASE_TEST__SV

class base_test extends uvm_test;

   my_env         env;
   
   function new(string name = "base_test", uvm_component parent = null);
      super.new(name,parent);
   endfunction
   
   extern virtual function void build_phase(uvm_phase phase);
   extern virtual function void report_phase(uvm_phase phase);
   `uvm_component_utils(base_test)
endclass


function void base_test::build_phase(uvm_phase phase);
   super.build_phase(phase);
   env  =  my_env::type_id::create("env", this); 
endfunction

function void base_test::report_phase(uvm_phase phase);
   uvm_report_server server;
   int err_num;
   super.report_phase(phase);

   server = get_report_server();
   err_num = server.get_severity_count(UVM_ERROR);

   if (err_num != 0) begin
      $display("TEST CASE FAILED");
   end
   else begin
      $display("TEST CASE PASSED");
   end
endfunction

`endif

2.14 my_env

`ifndef MY_ENV__SV
`define MY_ENV__SV

class my_env extends uvm_env;

   my_agent_i    i_agt;
   my_agent_o    o_agt;
   my_model      mdl;
   my_scoreboard scb;
   
   uvm_tlm_analysis_fifo #(my_transaction_o) agt_scb_fifo;
   uvm_tlm_analysis_fifo #(my_transaction_i) agt_mdl_fifo;
   uvm_tlm_analysis_fifo #(my_transaction_o) mdl_scb_fifo;
   
   function new(string name = "my_env", uvm_component parent);
      super.new(name, parent);
   endfunction

   virtual function void build_phase(uvm_phase phase);
      super.build_phase(phase);
      i_agt = my_agent_i::type_id::create("i_agt", this);
      o_agt = my_agent_o::type_id::create("o_agt", this);

      mdl = my_model::type_id::create("mdl", this);
      scb = my_scoreboard::type_id::create("scb", this);
      
      agt_scb_fifo = new("agt_scb_fifo", this);
      agt_mdl_fifo = new("agt_mdl_fifo", this);
      mdl_scb_fifo = new("mdl_scb_fifo", this);

   endfunction

   extern virtual function void connect_phase(uvm_phase phase);
   
   `uvm_component_utils(my_env)
endclass

function void my_env::connect_phase(uvm_phase phase);
   super.connect_phase(phase);
   i_agt.ap.connect(agt_mdl_fifo.analysis_export);
   mdl.port.connect(agt_mdl_fifo.blocking_get_export);
   mdl.ap.connect(mdl_scb_fifo.analysis_export);
   scb.exp_port.connect(mdl_scb_fifo.blocking_get_export);
   o_agt.ap.connect(agt_scb_fifo.analysis_export);
   scb.act_port.connect(agt_scb_fifo.blocking_get_export); 
endfunction

`endif

2.15 my_case0

`ifndef MY_CASE0__SV
`define MY_CASE0__SV
class case0_sequence extends uvm_sequence #(my_transaction_i);
   my_transaction_i m_trans;

   function  new(string name= "case0_sequence");
      super.new(name);
   endfunction 
   
   virtual task body();
      if(starting_phase != null) 
         starting_phase.raise_objection(this);
      repeat (10) begin
         `uvm_do(m_trans)
      end
      #100;
      if(starting_phase != null) 
         starting_phase.drop_objection(this);
   endtask

   `uvm_object_utils(case0_sequence)
endclass


class my_case0 extends base_test;

   function new(string name = "my_case0", uvm_component parent = null);
      super.new(name,parent);
   endfunction 
   extern virtual function void build_phase(uvm_phase phase); 
   `uvm_component_utils(my_case0)
endclass


function void my_case0::build_phase(uvm_phase phase);
   super.build_phase(phase);

   uvm_config_db#(uvm_object_wrapper)::set(this, 
                                           "env.i_agt.sqr.main_phase", 
                                           "default_sequence", 
                                           case0_sequence::type_id::get());
endfunction

`endif

top_tb

`timescale 1ns/1ps
`include "uvm_macros.svh" 

import uvm_pkg::*; 
`include "my_if.sv"
`include "my_transaction_i.sv"
`include "my_transaction_o.sv"
`include "my_sequencer.sv"
`include "my_driver.sv"
`include "my_monitor_i.sv"
`include "my_monitor_o.sv"
`include "my_agent_i.sv"
`include "my_agent_o.sv"
`include "my_model.sv"
`include "my_scoreboard.sv"
`include "my_env.sv"
`include "base_test.sv"
`include "my_case0.sv"

module top_tb;

reg clk;
reg rst_n;
reg [7:0] a;
reg [7:0] b;
reg cin;
reg enable;
wire [7:0] sum;
wire cout;

my_if input_if(clk, rst_n);
my_if output_if(clk, rst_n);

dut my_dut(.clk(clk),                
           .rst_n(rst_n),
           .a(input_if.a),
           .b(input_if.b),
           .cin(input_if.cin),
           .enable(input_if.enable)
           .sum(output_if.sum),
           .cout(output_if.cout));

initial begin           
   clk = 0;
   forever begin
      #100 clk = ~clk;
   end
end

initial begin
   rst_n = 1'b0;
   #1000;
   rst_n = 1'b1;
end

initial begin           
   run_test();
end

initial begin
	a = 8'b00000000;
	b = 8'b00000000;
	cin = 1'b0;
	enable = 1'b1;
end

initial begin
   uvm_config_db#(virtual my_if)::set(null, "uvm_test_top.env.i_agt.drv", "vif", input_if);
   uvm_config_db#(virtual my_if)::set(null, "uvm_test_top.env.i_agt.mon_i", "vif", input_if);
   uvm_config_db#(virtual my_if)::set(null, "uvm_test_top.env.o_agt.mon_o", "vif", output_if);
end

endmodule

三.调试

注意:

这里我后来发现忽略了一些问题,这个问题我原本以为是个小问题,可后来当在这段代码中加入寄存器之后,发现这个问题出现了三次。
UVM_ERROR my_scorboard.sv(52) @ 100000: uvm_test_top.env.scb [my_scoreboard] Received from DUT,while Except Queue is empty
这个问题放在这里,这周有时间的话解决,读者会的可直接评论。

分析:

原因:monitor_i和monitor_o都没有加使能控制,这使得monitor_o会上来就采样,但是此时driver并没有采样,那么导致在scoreboard里面无法进行比较,从而导致出错。

解决方法:

1)使用两个使能:enable,enable1,enable控制monitor输入,enable1控制monitor输出。
(2)对于enable的赋值,在driver中进行,对于enable1的赋值,在DUT中进行,也就是结果输出之后,enable1拉高。

修改后的一些代码

3.1 DUT

module dut(
	input clk,
	input rst_n,
	input [7:0] a,
	input [7:0] b,
	input cin,
	input enable,
	
	output reg cout,
	output reg [7:0] sum,
	output reg enable1
);

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		sum <= 8'b0;
		cout <= 1'b0;
	end
	else if(enable)begin
		{cout,sum} <= a+b+cin;
		enable1 <= 1'b1;
	end
	else begin
		sum <= sum;
		cout <= cout;
	end
end

endmodule

3.2 my_driver.sv

`ifndef MY_DRIVER__SV
`define MY_DRIVER__SV
class my_driver extends uvm_driver;
	virtual my_if vif;
	
	`uvm_component_utils(my_driver)
	function new(string name = "my_driver",uvm_component parent = null);
		super.new(name,parent);
	endfunction
	
	virtual function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		if(!uvm_config_db#(virtual my_if)::get(this,"","vif",vif))
			`uvm_fatal("my_driver","virtual interface must be set for vif!!!")
	endfunction

	extern task mian_phase(uvm_phase phase);
	extern task drive_one_pkt(my_transaction_i tr);
endclass

task my_driver::mian_phase(uvm_phase phase);
	vif.a <= 8'b0;
	vif.b <= 8,b0;
	vif.cin <= 1'b0;
	vif.enable <= 1'b0;
	while(!vif.rst_n)begin
		@(posedge vif.clk);
	end
	while(1)begin
		seq_item_port.get_next_item(req);
		drive_one_pkt(req);
		seq_item_port.item_done();
	end
endtask
//(1)如何理解
task my_driver::drive_one_pkt(my_transcation_i tr);
	uvm_info("my_driver","begin to dirve one pkt",UVM_LOW);
	@(posedge vif.clk);
	vif.a <= tr.a;
	vif.b <= tr.b;
	vif.cin <= tr.cin;
	vif.enable <= 1'b1;
	@(posedge vif.clk)
	vif.enable <= 1'b0;
	`uvm_info("my_driver","end to drive one pkt",UVM_LOW);
endtask
`endif

3.3 my_monitor_i.sv

`ifndef MY_MONITOR_I__SV
`define MY_MONITOR_I__SV

class my_monitor_i extends uvm_monitor;
	
	virtual my_if vif;
	
	uvm_analysis_port #(my_transaction_i) ap;

	`uvm_component_utils(my_monitor_i)
	function new(string name = "monitor_i",uvm_component parent = null);
		super.new(name,parent);
	endfunction
	
	virtual function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		if(!uvm_config_db#(virtual my_if)::get(this,"","vif",vif))
			`uvm_fatal("my_monitor","virtual interface must be set for vif!!!")
		ap = new("ap",this);
	endfunction
	
	extern task main_phase(uvm_phase phase);
	extern task drive_one_pkt(my_transaction_i tr);
endclass

task my_monitor::main_phase(uvm_phase phase);
	my_transaction_i tr;
	while(1) begin
		tr.new("tr");
		collect_one_pkt(tr);
		ap.write(tr);
	end
endtask
		
task my_monitor::collcet_one_pkt(my_transaction_i tr);
while(1) begin
	@(posedge vif.clk);
	if(vif.enable) break;
end
	`uvm_info("my_monitor","begin to collcet one pkt",UVM_LOW);	
	@(posedge vif.clk);
	tr.a <= vif.a;
	tr.b <= vif.b;
	tr.cin <= vif.cin;
	`uvm_info("my_monitor","end to collcet one pkt",UVM_LOW);
endtask
`endif

3.4 my_monitor_o.sv

`ifndef MY_MONITOR_O__SV
`define MY_MONITOR_O__SV
class my_monitor_o extends uvm_monitor
	
	virtual my_if vif;
	
	uvm_analysis_port #(my_transaction_o) ap;
	
	`uvm_component_port #(my_transaction_o) ap;
	function new(string name = "my_monitor_o",uvm_component parent = null);
		super.new(name,parent);
	endfunction

	virtual function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		if(!uvm_config_db#(virtual my_if)::get(this,"","vif",vif))
			`uvm_fatal("my_monitor","virtual interface must be set for vif!!!")
		ap = new("ap",this);
	endfunction
		
	extern task main_phase(uvm_phase phase);
	extern task collcet_one_pkt(my_transaction_i tr);
endclass

task my_monitor::main_phase (uvm_phase phase);
	my_transaction_o tr;
	while(1) begin
		tr = new("tr")
		collcet_one_pkt(tr);
		ap.write(tr);
	end
endtask

task my_monitor::collcet_one_pkt(my_transction_o tr);
while(1) begin
	@(posedge vif.clk)
	if(vif.enable1) break;
end
	`uvm_info("my_monitor_o","begin to collcet one pkt",UVM_LOW);
	@(posedge vif.clk)
	tr.sum <= vif.sum;
	tr.cout <= vif.cout;
	`uvm_info("my_monitor_o","end to collcet one pkt",UVM_ALL);
endtask
`endif

3.5 my_if

`ifndef MY_IF__SV
`define MY_IF__SV

interface my_if(input clk, input rst_n);

	logic [7:0] a;
	logic [7:0] b;
	logic cin;
	logic enable;
	wire enable1;
	wire [7:0] sum;
	wire cout;
	
endinterface
`endif

3.6 top_tb

`timescale 1ns/1ps
`include "uvm_macros.svh" 

import uvm_pkg::*; 
`include "my_if.sv"
`include "my_transaction_i.sv"
`include "my_transaction_o.sv"
`include "my_sequencer.sv"
`include "my_driver.sv"
`include "my_monitor_i.sv"
`include "my_monitor_o.sv"
`include "my_agent_i.sv"
`include "my_agent_o.sv"
`include "my_model.sv"
`include "my_scoreboard.sv"
`include "my_env.sv"
`include "base_test.sv"
`include "my_case0.sv"

module top_tb;

reg clk;
reg rst_n;
reg [7:0] a;
reg [7:0] b;
reg cin;
reg enable;
wire enable1
wire [7:0] sum;
wire cout;

my_if input_if(clk, rst_n);
my_if output_if(clk, rst_n);

dut my_dut(.clk(clk),                
           .rst_n(rst_n),
           .a(input_if.a),
           .b(input_if.b),
           .cin(input_if.cin),
           .enable(input_if.enable),
           .enable1(output_if.enable1),
           .sum(output_if.sum),
           .cout(output_if.cout));

initial begin           
   clk = 0;
   forever begin
      #100 clk = ~clk;
   end
end

initial begin
   rst_n = 1'b0;
   #1000;
   rst_n = 1'b1;
end

initial begin           
   run_test();
end

initial begin
	a = 8'b00000000;
	b = 8'b00000000;
	cin = 1'b0;
	enable = 1'b1;
end

initial begin
   uvm_config_db#(virtual my_if)::set(null, "uvm_test_top.env.i_agt.drv", "vif", input_if);
   uvm_config_db#(virtual my_if)::set(null, "uvm_test_top.env.i_agt.mon_i", "vif", input_if);
   uvm_config_db#(virtual my_if)::set(null, "uvm_test_top.env.o_agt.mon_o", "vif", output_if);
end

endmodule

思考

将DUT我们换一下,看看是否同样可行

module dut(
	input clk,
	input rst_n,
	input [7:0] a,
	input [7:0] b,
	input cin,
	input enable,
	
	output reg cout,
	output reg[7:0] sum,
	output reg enable1
);

always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin
		sum <= 8'b0;
		cout <= 1'b0;
		enable <= 1'b0;
	end
	else begin
		{cout,sum} <= a+b+cin;
		enable1 <= enable;
	end
end

endmodule

答案是运行结果是相同,至于为什么呢会有这个想法呢,主要来自于UVM实战第二章例子的想法,那么为什么可行我说不清楚,有明白的可以评论一下。

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论
### 回答1: 要运行UVM实战例子,您需要按照以下步骤进行操作: 1. 下载UVM库:首先,从UVM官方网站(uvm.io)下载UVM库。确保选择与您使用的仿真工具兼容的版本。 2. 设置仿真环境:根据您选择的仿真工具,设置相应的环境变量。例如,如果您使用的是Cadence Incisive,需要设置环境变量UVM_HOME指向UVM库的路径。 3. 编译UVM例子:在UVM库的安装目录下,有一个名为"examples"的文件夹,其中包含了一些UVM实战例子。进入该文件夹,并选择您感兴趣的实例。打开仿真工具的终端或控制台,并使用Makefile或仿真工具提供的编译选项对例子进行编译。 4. 运行仿真:编译成功后,使用仿真工具的命令行或图形界面打开仿真环境。根据所选示例提供的说明,设置仿真参数,并启动仿真运行。 5. 查看仿真结果:仿真运行完成后,您可以查看仿真结果。这些结果可能包括波形图,日志文件或报告。使用仿真工具提供的工具或命令,打开波形图以查看信号的波动情况,读取日志文件以获取仿真过程中的消息和错误信息,并查看报告以获取仿真的总结和统计数据。 通过按照以上步骤操作,您就可以成功运行UVM实战例子了。在此过程中,如果遇到任何问题,可以参考UVM库的文档或在线社区寻求帮助。 ### 回答2: 要运行UVM实战例子,首先需要确保计算机上已经安装了必要的软件和工具。以下是一个基本的步骤指南: 1. 安装仿真工具:首先,需要安装支持UVM的仿真工具,例如Cadence的Incisive、Synopsys的VCS或Mentor的ModelSim等。根据不同的仿真工具,安装流程会有所不同。 2. 下载UVM库:从Accellera官方网站上下载UVM库,确保下载的版本与所使用的仿真工具兼容。 3. 设置环境变量:将UVM库的路径添加至环境变量中,以便于仿真工具能够找到并加载UVM库。 4. 构建仿真环境:根据UVM实战例子,创建仿真环境及相关组件,包括测试程序、抽象模型、环境配置等。 5. 编译和链接:使用仿真工具提供的编译器和链接器,将仿真环境和UVM库一起编译和链接。 6. 运行仿真:根据仿真工具的命令行参数或图形界面设置,运行仿真程序。仿真工具会加载UVM库和仿真环境,并执行测试程序。 7. 分析结果:仿真完成后,可以通过仿真工具提供的调试和分析功能,查看仿真结果,包括波形图、日志信息、覆盖率等。 需要注意的是,UVM实战例子可能会有不同的设置和配置,因此具体的步骤可能会有所差异。在运行例子之前,最好阅读相关的文档和指南,确保了解正确的设置和配置要求。 ### 回答3: 要运行UVM实战例子,需要按照以下步骤进行。 1. 下载UVM库和实战的代码:首先,需要从UVM官方网站或其他可靠资源下载UVM库和实战的代码。确保所下载的版本与你使用的EDA工具版本兼容。 2. 配置必要的环境:在你的工作环境中设置必要的环境变量,以便EDA工具能够找到UVM库和实战代码。这包括设置UVM_HOME变量来指向UVM库的安装目录,设置UVM_TESTBENCH路径来指向实战代码目录。 3. 编译UVM库:在UVM库的安装目录中,运行提供的编译脚本或命令来编译UVM库。这将生成所需的静态或动态链接库。 4. 设置测试环境:根据你要运行的实战例子的要求,设置必要的测试环境。这包括设置测试参数,实例化被测对象,配置必要的环境变量等。 5. 编译和仿真:使用你的EDA工具编译实战代码,并运行仿真。在仿真过程中,UVM库中的运行时组件将根据UVM框架的规范对测试进行控制和监视。 6. 分析结果:一旦仿真完成,你可以分析仿真结果,并查看UVM日志、报告或其他自定义输出,以评估测试的覆盖率、正确性和性能。 总之,要运行UVM实战例子,需要下载和配置UVM库和实战代码,设置测试环境,编译并仿真测试。通过分析仿真结果,你可以评估测试的效果和质量。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

马志高

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值