UVM_PHASE简介

UVM验证环境是按照UVM_PHASE有序执行的,UVM_PHASE按照是否消耗时间分为function_phase和task_phase.function_phase如build_phase、connect_phase,这些phase是不消耗时间的;task_phase如main_phase、reset_phase这些phase是消耗时间的.
1.function_phase介绍
在function_phase中build_phase实现实例化工作,主要是对uvm_component及其派生类的实例化;connect_phase用来完成诸如port的链接这些动作;end_of_elaborattion_phase、start_of_simulation_phase不常用,不过也可以根据平台的需要做一些预处理的动作;
report_phase主要在结束时对DUT和RM状态做一下检查;涉及到读取寄存器状态,需要在task_phase中检查.
2.function_phase顺序
build_phase是自顶而下的执行顺序,即从uvm_test_top开始执行,进而到uvm_env、uvm_rm的build_phase;其他function_phase正好相反,是自下而上的执行顺序.(待续)

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值