存在out参数的webservices_PLL环路参数的计算及建模

尽管基本PLL自其出现之日起几乎保持原样,但是使用不同技术制作及满足不同应用要求的PLL的实现一直给设计者提出挑战。本篇先介绍一下传统电荷泵锁相环的稳定性和噪声建模,后续再从各种结构的PLL、电路设计注意事项、片上电感的设计等方面逐一展开。

1.      PLL环路参数的计算及建模

1.1 环路参数的计算

传统电荷泵锁相环的结构框图如图1所示,由鉴频鉴相器(PFD)、电荷泵(CP)、环路滤波器(LPF)、压控振荡器(VCO)、分频器(1/N)组成。  ffdfef7d0d2b7f78d84bebceea08c199.png

Fig1. 传统电荷泵 PLL结构框图

PLL设计指标:VDD=1.2V,Fref=25MHz,Fvco=1.25GHz,N=50。

第一步,确定VCO增益Kvco和CP充放电电流Icp。

       按照20%的设计余量,将VCO的输出频率范围设定为1.0GHz到1.5GHz。在1.2V电源电压下为使CP电流源工作在饱和区,并考虑到为确保VCO增益的线性度而尽可能的压缩控制电压范围,将控制电压范围设为280mV到450mV,得Kvco≈3GHz/V。实际设计时可适当增大控制电压范围(如200~1000mV),也可适当增大或减小Kvco,这里只是做一个假设,目的是学会如何计算PLL环路参数。

       考虑面积功耗等因素的影响Icp折中取100uA。

       第二步,环路带宽fc和相位裕度PM。

       Fc取1MHz,PM取55deg。

       第三步,计算LPF电阻(R2)和电容(C1、C2)的取值。

将图1所示的PLL结构框图等效成图2所示的负反馈系统:

c00f058ae825fef3ca83c49df7029d10.png

Fig2.  PLL等效负反馈系统

bb5c83b4d2798398bf10d1c5ff9baab1.png

a441ea41ecac487abfe946bd1dbe0211.png

根据上述等式的推导,编写如下的MATLAB代码,可得滤波器的参数:

clear all;

close all;

clc;

Fc=1e6;%环路带宽

Kvco=2*pi*3e9;%VCO增益

Icp=100e-6;%电荷泵电流

Kpc=Icp/(2*pi);

N=50;%分频比

PM=55;%相位裕度

%==========================================================

Wc=2*pi*Fc;

fai=(pi/180)*PM;

tao1=(1/cos(fai)-tan(fai))/Wc;%时间常数1

tao2=1/(Wc*Wc*tao1);%时间常数2

radnum=(Wc*tao2)^2+1;

radden=(Wc*tao1)^2+1;

a=sqrt(radnum/radden);

b=(Kpc*Kvco)/(N*Wc*Wc);

C1=(tao1/tao2)*b*a;

C2=C1*(tao2/tao1-1);

R2=tao2/C2;

%=====================滤波器的传递函数======================

num1=[tao2,1];

den1=[tao1,1,0];

Fs=tf(num1,den1)/(C1+C2);

%=================整体开环L0s=Gs*Hs传递函数=================

G=Kpc*Fs*Kvco;

den2=[1,0];

Gs=G*tf(1,den2);

Hs=1/N;

L0s=Gs*Hs;

%===================开环传递函数波特图======================

figure(1)

bode(L0s,{2*pi*10000,2*pi*100000000})

PLL幅频和相频特性曲线如图3所示,发现环路相位裕度和带宽与计算结果一致。

df53884aacd8006a19c4d15accff5bde.png

Fig3.  PLL幅频和相频特性曲线

1.2 滤波器参数与环路带宽的关系

PM=60o,Icp=100uA,Kvco=3GHz/V,N=50

带宽/kHz

C1/pF

C2/pF

R2/kΩ

600

113.12

1462.40

0.68

900

50.28

649.98

1.02

1200

28.28

365.61

1.35

1500

18.01

234.00

1.69

1800

12.57

162.49

2.03

2100

9.23

119.38

2.37

2500

6.52

84.24

2.82

       图4给出了PLL带宽与滤波器参数之间的关系

5d0fe5d803a0065917caac1fc09a9d1f.png

a.  环路带宽与C1的关系

981aaabe678b8e3f671f88891512263c.png

b.  环路带宽与C2的关系

2992da085da3766ffda0d45df4b3a6c0.png

c.  环路带宽与R2的关系

Fig4.  环路带宽与滤波器参数的关系

       结论:环路带宽与R2成正比,与C1和C2成反比

1.3 滤波器参数与相位裕度的关系

fc=1MHz,Icp=100uA,Kvco=100MHz/V,N=50

PM/o

C1/pF

C2/pF

R2/kΩ

20

3.55

3.69

61.64

30

2.92

5.85

47.12

40

2.36

8.50

40.15

50

1.84

12.08

36.21

60

1.36

17.55

33.85

70

0.89

27.84

32.42

       图5给出了PLL相位裕度与滤波器参数之间的关系

0be442585fdf688238747c737458ef8c.png

a.  相位裕度与C1的关系

782ba03f769e2b98a77309aa09ae7aed.png

b.  相位裕度与C2的关系

291eeb8ca528d762fac60c6cb7452994.png

c.  相位裕度与R2的关系

Fig5.  相位裕度与滤波器参数的关系

       结论:相位裕度与C2成正比,与C1和R2成反比

1.4 PLL环路建模

用veriloga完成PLL建模,如图6所示。

4bc4114bad28aac7140f93616b7042d7.png

Fig6.  PLL环路建模

       用图6所示的环路模型可以做很多有意思的事情,图7和图8分别给出了锁定时间与环路带宽之间的关系,环路带宽/参考频率与稳定性之间的关系。下图7和图8说明:对于特定相位裕度,在一定范围内PLL锁定时间随带宽的增大不断减小,当带宽大于fref/10后系统的离散性变的越来越严重,带宽增大到fref/3后系统无法锁定。因此PLL环路带宽的选取存在一个折中,通常环路带宽取fref/20、fref/30或更小,具体多少要看应用。

6e044fbf1115a8dc14e16d47d129ab56.png

Fig7.  锁定时间与环路带宽之间的关系

69c10d6c813a561d5d6d6bb93e585c8e.png

Fig8.  环路带宽/参考频率与稳定性之间的关系

       为了使PLL有最快的锁定时间,相位裕度也存在一个折中,由图9给出了相位裕度与稳定性之间的关系,可见相位裕度在50-70度之前是一个比较好的选择。

94b1339cf02931b7927b63e24cf2e0ec.png

Fig9.  相位裕度与稳定性之间的关系

2.      PLL噪声分析

2.1 噪声传函

图10给出了PLL相位域模型,并标注了各种噪声源。这些噪声源既包含了各模块的本征噪声,也包含了其他外部噪声源对本模块的影响,如电源电压噪声、衬底噪声等。可以得到该PLL的开环传递函数为:

5de150fc5c5d31b6b19639e103c2c251.png

4c094f3e63e9541ea05bdf389fcfd2e2.png

Fig10.  PLL的相位域模型

       由此可写出各模块到输出的噪声传递函数(NTF):

54fe7282111eb586dcb0c079605fd632.png

经上述分析可知,为了得到最佳的系统相位噪声性能,不仅要尽可能降低各个电路模块引入的噪声,而且还要合理选择环路带宽,有效地抑制带内和带外噪声。

PLL环路各参数选取如下:

1418dc8afb05267d522ec998fb8c3786.png

为得到参考时钟(Vref)到输出端(Vvco)的闭环噪声曲线,搭建了图11所示的PLL行为级模型,其中E0,E1调用analoglib里的vcvs(压控电压源);G0,G1调用analoglib里的vccs(压控电流源)。

c865fd0aec343a6244950a0a24323ea3.png

Fig11.  参考时钟到输出端的Test Bench

参考频率到输出端的闭环噪声传递函数具有低通特性。对图11建立的行为级模型进行AC仿真,得到其幅频特性如下图12所示,可见其具有低通特性,公式的正确性。

1f15afda59535a9325f15749527beac9.png

Fig12.  参考时钟到输出端的幅频特性曲线

       同样搭建分频器到输出端的噪声仿真图,如图13所示:

b8e8e578008c26055e194371a5f33355.png

89c47ded64c9886b48cfb2e5f3191a5a.png

Fig13.  分频器到输出端的Test Bench(上述两图均可)

分频器到输出端的幅频特性曲线,如图14所示:

66c749f35347983d941ed1981fbbc375.png

Fig14.  分频器到输出端的幅频特性曲线

同样搭建PFD到输出端的噪声仿真图,如图15所示:

0fc681c7f90fc5730bd53b180520d17b.png

Fig15.  PFD到输出端的Test Bench(对其做了简单等效)

PFD到输出端的幅频特性曲线,如图16所示:

196fe277bb97321435da29dc58ef378d.png

Fig16.  PFD到输出端的幅频特性曲线

同样搭建环路滤波器到输出端的噪声仿真图,如图17所示:

6c04e6f85c7cb4f392135a0ed37a5cf0.png

c47af11fd4dad7ebc67cc683012a2059.png

Fig17.  环路滤波器到输出端的Test Bench(上述两图均可)

环路滤波器到输出端的幅频特性曲线,如图18所示:

760074f588450096dfa825e45e13558c.png

Fig18.  环路滤波器到输出端的幅频特性曲线

同样搭建VCO到输出端的噪声仿真图,如图19所示:

0eb4e63be8426583f44c591b92caffb2.png

ad44f71cfbb58c81d5a92b335b6b0206.png

Fig19.  VCO到输出端的Test Bench(上述两图均可)

VCO到输出端的幅频特性曲线,如图20所示:

2e41519e5d67bcdc2ba9b417f99adbc7.png

Fig20.  VCO到输出端的幅频特性曲线

       同一坐标系下,PLL各噪声结点到输出端的幅频特性曲线如下图21所示:

a7e63a3e675b3c48d6233c8ef01ead58.png

Fig21.  同一坐标系下各噪声结点到输出端的幅频特性曲线

2.2 噪声建模

用veriloga完成了PLL噪声建模,如图22所示,验证qpll的噪声性能。模型采用传统电荷泵结构,各模块的噪声通过仿真或测试得到,所有噪声均转换为电压噪声(V2/Hz)。

97c59ededadc4aa8181a8b856750a965.png

Fig22.  PLL噪声建模

       得到各模块电压噪声,进行noise仿真,得到输出端的电压噪声,然后用下式进行计算,得到Jitter:

         spectre计算公式如下:

95b2edaaed9a072a25204414c6670a42.png

Rms_Jitter_Per_UI:(sqrt(integ(((getData("out"?result "noise")**2) * 2) 10 100000000 " ")) / 6.283185)

P2P_Jitter_Per_UI:((sqrt(integ(((getData("out"?result "noise")**2) * 2) 10 100000000 " ")) / 6.283185) *14)

output noise; V**2 / Hz:db((getData("out" ?result"noise")**2))

Total_PN:(10 * log10((getData("out"?result "noise")**2)))

24a697138236bd3622a18b76b85190cf.png

Fig23.  PLL noise拟合结果

       上图环路16种仿真case下,输出噪声的rms jitter和p2p jitter统计如下:

Value

rms jitter[mUI]

p2p jitter[mUI]

Min

5.431

76.03

Max

7.096

99.34

       tt corner下各模块噪声占比如图24所示:

485bda798f0650717b73728cc052fc04.png

Fig24.  各模块的噪声占比

  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值