自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

原创 virtualenv创建python3环境后,pip损坏无法运行的解决方案

问题描述最近在使用virtualenv创建python3环境后,运行pip命令报错:virtualenv -p /usr/bin/python3 --no-site-packages venvsource venv/bin/activate(venv)pip3错误提示如下:Traceback (most recent call last): File "/home/Cambricon-Test/test/venv/bin/pip", line 7, in <module>

2021-08-12 11:09:20 653

原创 【数字图像处理】-- 弄懂等距变换(刚性变换)、相似变换、仿射变换、透视变换(投影变换)

目录概述刚性变换平移旋转等距相似变换缩放倾斜仿射变换平移旋转缩放倾斜翻转投影变换参考文档概述刚性变换刚性变换包括平移、旋转、等距变换。平移平移变换矩阵:[x′y′1]=[10tx01ty001][xy1] \left[ \begin{matrix} {{x}^{'}} \\ {{y}^{'}} \\ 1 \\\end{matrix} \right]=\left[ \begin{matrix} 1 & 0 & {{t}_{x}} \\ 0

2020-07-20 23:06:28 7325 1

原创 一起来学FPGA(vhdl)四:数码管计时

目录一起来学FPGA(vhdl)一:点亮流水灯一起来学FPGA(vhdl)二:按键控制LED一起来学FPGA(vhdl)三:数码管计时环境软件:ISE 14.7硬件:ALINX AX3091关键逻辑定时器以clk为时钟频率,设置一个10ms的定时器,用来计数。process(clk) variable temp_count:integer:=0;begin if(clk'...

2019-10-19 11:37:41 4109 2

原创 一起来学FPGA(vhdl)三:分频器实验

FPGA(vhdl)三:分频器环境关键逻辑偶数分频奇数分频Code总结参考文档环境软件:ISE 14.7关键逻辑偶数分频分频系数scaler为偶数,采用上升沿(或下降沿)触发计数到scaler/2时反转状态,实现占空比50%的偶数分频信号。signal clk_div:bit:='0';signal count:integer:=0;constant scaler:integer...

2019-10-14 22:47:03 2294 1

原创 一起来学FPGA(vhdl)二:按键控制LED

FPGA(vhdl)二:按键控制LED环境方案思路1思路2CodeAX309.ucf(节选)总结参考文档环境软件:ISE 14.7硬件:ALINX AX3091方案思路1process(key)begin if(key = '1') then t <= t xor '1'; end if;end process;process(t)begin led <...

2019-10-13 22:25:00 6003

原创 一起来学FPGA(vhdl)一:点亮流水灯

FPGA(vhdl)一:点亮流水灯环境CodeAX309.ucf(节选)说明CodePins总结参考文档环境软件:ISE 14.7硬件:ALINX AX3091Codelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;-- Uncomment the following library declaration if using-- arithmet...

2019-10-13 18:04:04 3491

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除