dac生成信号频率取决于_实验1 DDS信号源实验报告.docx

本文是一份DDS信号源实验报告,详细介绍了DDS的工作原理、硬件构成和使用方法。实验中,通过STM32芯片生成不同类型的信号,如正弦波、三角波、方波、扫频、调幅、双边带、调频等,并利用示波器观察和调整信号的频率、幅度。实验展示了DDS信号源如何根据频率控制字生成不同频率的信号,以及对外部信号的调制能力。
摘要由CSDN通过智能技术生成

实验1 DDS信号源实验报告学号:222012315220065 姓名:唐小彬一、实验目的1.了解DDS信号源的组成及工作原理;2.掌握DDS信号源使用方法;3.掌握DDS信号源各种输出信号的测试;4.配合示波器完成系统测试。二、实验仪器1.DDS信号源 2. 100M双踪示波器1台三、实验原理1.DDS信号产生原理直接数字频率合成(DDS—Digital Direct Frequency Synthesis),是一种全数字化的频率合成器,由相位累加器、波形ROM、D/A转换器和低通滤波器构成。时钟频率给定后,输出信号的频率取决于频率控制字,频率分辨率取决于累加器位数,相位分辨率取决于ROM的地址线位数,幅度量化噪声取决于ROM的数据位字长和D/A转换器位数。图2-1 DDS信号产生原理DDS信号源模块硬件上由cortex-m3内核的ARM芯片(STM32)和外围电路构成。在该模块中,我们用到STM32芯片的一路AD采集(对应插孔调制输入)和两路DAC输出(分别对应插孔P03.P04)。抽样脉冲形成电路(P09)信号由STM32时钟配置PWM模式输出,调幅、调频信号通过向STM32写入相应的采样点数组,由时钟触发两路DAC同步循环分别输出其已调信号与载波信号。对于外加信号的AM调制,由STM32的AD对外加音频信号进行采样,在时钟触发下当前采样值与载波信号数组的相应值进行相应算法处理,并将该值保存输出到DAC,然后循环进行这个过程,就实现了对外部音频信号的AM调制。实验箱的DDS信号源能够输出抽样脉冲(PWM)、正弦波、三角波、方波、扫频信号、调幅波(AM)、双边带(DSB)、调频波(FM)及对外部输入信号进行AM调制输出。2.DDS信号源使用及信号生成表DDS信号源主要包含以下几个部分:LCD:显示输出信号的频率。调制输入:外部调制信号输入

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值