verilog赋多位值_Verilog,我可以为始终块内的多个位分配一个位值(Verilog, can i assign a bit value to multiple bits inside alw...

Verilog,我可以为始终块内的多个位分配一个位值(Verilog, can i assign a bit value to multiple bits inside always block)

以下是代码:

always @ (C[n-1])

begin

C[2*n-1:n]=C[n-1];

end

那可能吗? 如果没有,我该怎么办? 基本上它正在执行符号扩展。

Below is the code:

always @ (C[n-1])

begin

C[2*n-1:n]=C[n-1];

end

Is that possible? If not, how can I do it? Basically it's performing a sign extension.

原文:https://stackoverflow.com/questions/9286671

更新时间:2020-02-24 18:43

最满意答案

在Verilog中复制位的语法是{COUNT{bits}} 。 在你的情况下像{n{C[n-1]}}

The syntax for replicating a bit in Verilog is {COUNT{bits}}. In your case something like {n{C[n-1]}}

2012-02-15

相关问答

always @*等待,直到推断的灵敏度列表中的信号发生变化。 i和j是常数(从always @*评估的模拟时间的角度来看),因此你的always块在灵敏度列表中没有信号。 如果使用SystemVerilog,

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值