idea搭建scala环境_SpinalHDL(一)——环境搭建

前言

最近看到SpinalHDL的相关介绍,诸多票友觉得相比chisel更具优势,初步了解记录下,由于SpinalHDL基于Scala,对该类型语言并未接触,优先学习思想为主,环境搭建采用Intel IDEA 进行搭建,其中遇到些许坑,做下记录。

Intel IDEA安装

IDEA采用Community版即可,从官网下载,step by step。

安装完成后需安装Scala插件。

JDK安装

Scala需要java SDK 1.8,从Oracle官网下载即可。

Scala安装

Scala建议采用2.11.12版本(尝试过其他版本,但使用SpinalHDL中遇到各种问题,以学习SpinalHDL为主,不折腾环境)。从Scala官网下载(https://www.scala-lang.org/),随后将scala加载到idea中(网上有诸多搭建scala环境教程)

SpinalHDL Example run

SpinalHDL本质上是一个Scala的libary,采用创建Scala工程的方式创建即可 :

3ae0216564471e353d8677f3e57a175e.png

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值