windows / ubuntu 安装spinal HDL

阅读文档

阅读中文文档获取详细参考

helloword 项目:github

windows安装spinal hdl

核心思想是安装以下组件,安装方法有一百万种。给的方法不一定对所有机器有效,灵活应对安装中出现的各种问题即可。

Java JDK
Scala 2.11.X版本
SBT搭建工具

也可以下载IDEA ,环境可以自动选择配置JAVA/Scala/SBT

ubuntu安装spinal hdl

核心思想是安装以下组件,安装方法有一百万种。给的方法不一定对所有机器有效,灵活应对安装中出现的各种问题即可。

Java JDK

Scala 2.11.X版本

SBT搭建工具

# 一键安装 (参考THU 中文教程: https://thucgra.github.io/SpinalHDL_Chinese_Doc/doc/%E5%BC%80%E5%A7%8B%E5%85%A5%E9%97%A8/%E5%BC%80%E5%A7%8B%E5%85%A5%E9%97%A8.html)

sudo apt-get install openjdk-8-jdk
sudo apt-get install scala
echo "deb https://repo.scala-sbt.org/scalasbt/debian all main" | sudo tee /etc/apt/sources.list.d/sbt.list
echo "deb https://repo.scala-sbt.org/scalasbt/debian /" | sudo tee /etc/apt/sources.list.d/sbt_old.list
curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add
sudo apt-get update
sudo apt-get install sbt
git clone https://github.com/SpinalHDL/SpinalTemplateSbt.git SpinalTemplateSbt
cd SpinalTemplateSbt
sbt run   # select "mylib.MyTopLevelVhdl" in the menu
ls MyTopLevel.vhd

Java JDK

Scala 是基于Java,因此先安装Java JDK。如果你的机器不能联网,可以离线安装Java JDK

sudo apt-get install openjdk-8-jdk

Scala

Spinal HDL是基于Scala,因此安装scala,要去官网下载 2.11.x版本,不要更高。
https://blog.csdn.net/CRMEB/article/details/122577176

SBT

Scala 的编译和包管理工具
https://zhuanlan.zhihu.com/p/495992520

遇到问题

windows 仿真报错

重点,windows最常见问题就是按照官方教程安装verilator后不能仿真,报各种错误。debug很久,一般都是因为环境变量有问题导致的。考虑梳理下环境变量解决。可以先无视官方建议尝试把C:\msys64\usr\bin;C:\msys64\mingw64\bin 放到环境变量最开始试一下。一般是可以pass。这种情况说明系统中有些环境变量有verilator用到的重名文件。

windows 形式验证

形式验证仿真环境的安装参考官方教程下载yosys
链接
配置环境变量时可以直接使用路径下的脚本,修改set -> 为setx, 执行一次即可。
在这里插入图片描述
如果出错可以考虑手动配置一下变量:
在这里插入图片描述
在这里插入图片描述
PATH 变量:

在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Spinal HDL是一种用于硬件描述的开源硬件描述语言。它具有简洁、简单、强大和高效的特点。通过使用Spinal HDL,设计人员可以更加方便地设计和验证数字电路。 Spinal HDL与环境之间存在一些关联和区别。首先,Spinal HDL是一种特定于硬件描述的语言,它主要用于描述数字电路的行为和结构。而环境则是指在软硬件开发过程中所处的特定环境,包括开发工具、硬件平台、测试设备等。环境的搭建和配置对于项目的开发和验证至关重要。 在项目开发中,Spinal HDL可以与环境相互配合。通过使用Spinal HDL语言,可以方便地描述硬件的行为和结构。然后,将Spinal HDL代码与特定的硬件平台和开发工具进行结合,进行硬件的编译、仿真和验证。在这个过程中,环境提供了开发和验证所需的工具和资源。 然而,Spinal HDL与环境之间也存在一些区别。Spinal HDL是一种抽象的硬件描述语言,它主要关注于硬件的行为和结构的描述,而对于具体的开发和验证环境并不关心。而环境则是基于特定的开发工具和硬件平台构建的,它主要关注于提供开发和验证所需的工具、资源和配置。 总的来说,Spinal HDL是一种用于硬件描述的开源硬件描述语言,可以方便地描述硬件的行为和结构,而环境则为开发和验证提供了必要的工具和资源。通过Spinal HDL和环境的配合,可以更加高效和方便地进行硬件开发和验证。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值