计算机组成原理ioe,1614010102曹妍计算机组成原理实验报告7

本次实验旨在模拟一台完整的计算机,通过将模型机与存储器模块相连,构成主机,并使用原理图进行设计输入和综合编译。实验过程中,进行了程序的录入、编辑、编译和调试,最终成功运行。通过仿真器观察了波形图,确认了设计的正确性。实验结果显示,CPU与存储器的连接和操作流程顺利完成。
摘要由CSDN通过智能技术生成

a7f4a3f590493a1e451dd952a488fd7c.gif 1614010102曹妍计算机组成原理实验报告7

(6页)

196d7d094977a1fcdd175791f2ead988.png

本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦!

9.9 积分

哈余虞理工大学钦件与微电子学院实验报告(2017-2018 第一学期)课程名称: 班级: 学号: 姓 名: 实验名称CPU与存储器的连接V业软件工程姓 名曹妍学号1614010102班级软件16-1班一、 实验目的:1. 模拟一台完整的计算机,了解计算机硕件设计过程的整个流程2. 掌握用原理图和作为设计输入的方法。3. 掌握使用以前编译完成的硬件继续设计的方法。二、 实验内容:1. 通过模型机和一个存储器模块相连,构成一台计算机的主机;2. 用原理图作为设计输入对上述的主机进行综合编译。3. 对所设计模型进行测试。三、 实验设备及软件环境:软件需求:QUARTS 2硬件需求:微型计算机四、 实验过程及结果:1. 调用软件2. 录入程序3. 编辑、编译4?调试、直到运行成功。5. 打开仿真器6. 观察波形图file Edit View Project As 切「menu Processing Took Window HelpD G? Id 0 - |canpuer ~3|魔” ??? |9 » E 忆句(!)匕令 $ 謹釦Project r«r日? Co?pi:? X«vi^n 7(JJ-- ? Afclysz 4 SyuUiw^ix r» Fitter 4 Kcmte)? At«««blar (G«a«r»t» ;r4^-wv%tr.( G>- ? Clue TifiiM Aaulyki^ &?-? HA K«U:st tri terPrcb林 Two (0y»n Prcjwk.Type |畑8你Info: ffi X> Info:S 无 Info:Info:Xnfo:Into:ffiAddr?C?1"1?3YTV00001$24001F事31eo03te41Al6104SI28201000co0000000000CO1800co0000000000co2000co0000000000co2800cooc00000000co3000co0000000000co3Sccw0000000000wElaborated Degafunccion ia«C4ncL«tlon "lpa_ra*_dQ0:instilalttyncruj:al^iyncrtn.conponenfInstantiated negnfunctioe ?lpn_ran_dq0:irL3tllaltsyncraa:alt5yacra«_ccapcnen^" with the folloviog paran-ter: Found 1 dcaigii unlta. including 1 cncicics. m aourcc file db/altJi-ncra»_aBal.cdfElaborating entity "d 1 tayncrab_u8a 1 ? for hierereby ?lra_raji_dqO:in8tllaltsyncraB:altflyncraD_cocc<>r»ntl«ltsyncra«_uftal:auvo_g«t*reted" :spleuetted 497 device resources after s/ntheflia - zhe flz&al reacorze ccunt siaht te differentQuarts H Analyaia « Syntbetii was aucceoful. 0 errort, 1 warning\ S沁 £1 h (") j\ 三渝oIRo 入 lrlo|16j 入 Wd▼■巾 E 入 CU如 VArrmj 入 E”(r 入?iceResdvl&CAP NUMQ Qu^rtuj II - G/dlter^l/quartus/Computer - Computer - [Corr^xiter bdf)J|0 AeEdit Viex Project A^nmen« Pfocwing Tools Window HelpEntityLC C«iVia

4d91c43bfc72ca913299809b07b4968f.gif  天天文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。

关于本文

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值