ncverilog脚本_ncverilog仿真的基础脚本

NCSim   NC-SIM 为Cadence 公司之 VHDL与Verilog混合模拟的模拟器 (simulator),可以帮助 IC设计者验证及模拟其所用VHDL与Verilog混合计设的 IC功能.

NC-Verilog 为 Cadence 公司之 Verilog 硬体描述语言模拟器 (simulator),可以帮助 IC设计者验证及模拟所设计 IC 的功能.使用 NC-Verilog软体,使用者必须使用 Verilog 硬体描述语言的语法来描述所要设计的电路.

ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;

ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step

ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)

基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作

ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式

ncverilog的三步模式为:

(1)ncvlog(编译)、

(2)ncelab(建立snapshot文件) 、

(3)ncsim(对snapshot文件进行仿真)

基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作

ncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式

三命令模式:

ncvlog -f run.f

ncvhdl -f run.f

ncelab tb -access wrc

ncsim tb -gui<

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值