1.算法仿真效果
本系统在以前写过的BPSK调制解调系统的基础上,增加了高斯信道模块,误码率统计模块,可以验证不同SNR情况下的BPSK误码情况。

vivado2019.2仿真结果如下(完整代码运行后无水印):

SNR=0db:

基于FPGA的BPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR_差分

SNR=5db:

基于FPGA的BPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR_调相_02

系统RTL结构如下:

基于FPGA的BPSK调制解调系统,包含testbench,高斯信道模块,误码率统计模块,可以设置不同SNR_Verilog_03

2.算法涉及理论知识概要
BPSK信号与2ASK信号的时域表达式在形式上是完全相同的,所不同的只是两者基带信号s(t)的构成,一个由双极性NRZ码组成,另一个由单极性NRZ码组成。因此,求BPSK信号的功率谱密度时,也可采用与求2ASK信号功率谱密度相同的方法。
(1)当双极性基带信号以相等的概率(p=1/2)出现时,BPSK信号的功率谱仅由连续谱组成。BPSK信号的功率谱由连续谱和离散谱两部分组成。其中,连续谱取决于数字基带信号s(t)经线性调制后的双边带谱,而离散谱则由载波分量确定。
(2)BPSK的连续谱部分与2ASK信号的连续谱基本相同(仅差一个常数因子)。因此,BPSK信号的带宽、频带利用率也与2ASK信号的相同。
在数字调制中,BPSK(后面将会看到2DPSK也同样)的频谱特性与2ASK十分相似。相位调制和频率调制一样,本质上是一种非线性调制,但在数字调相中,由于表征信息的相位变化只有有限的离散取值,因此,可以把相位变化归结为幅度变化。这样一来,数字调相同线性调制的数字调幅就联系起来了,为此可以把数字调相信号当作线性调制信号来处理了。但是不能把上述概念推广到所有调相信号中去。
BPSK (Binary Phase Shift Keying)-------二进制相移键控。是把模拟信号转换成数据值的转换方式之一,利用偏离相位的复数波浪组合来表现信息键控移相方式。BPSK使用了基准的正弦波和相位反转的波浪,使一方为0,另一方为1,从而可以同时传送接受2值(1比特)的信息。

   由于最单纯的键控移相方式虽抗噪音较强但传送效率差,所以常常使用利用4个相位的QPSK和利用8个相位的BPSK。

    ​二进制相移键控(BPSK)信号进行相干解调的系统,其包括:用于从所述BPSK信号中恢复出频率为2F的载波信号(C)的装置;用于将频率为2F的所述信号注入到注入锁定振荡器(ILO)中的装置,该注入锁定振荡器的固有谐振频率为f↓[r],该f↓[r]大致等于f,该注入锁定振荡器提供用于恢复具有(θ↓[e]-k)/2相移的原始载波的差分输出(o↓[p]、o↓[n])信号,其中θ=arcsin[(f↓[r]-r)/αA↓[i]f],其中α和k是取决于所述注入锁定振荡器(ILO)中的主要非线性的类型的参数,而A↓[i]是所恢复的频率为2f的载波信号的幅值,以及用于将所述差分输出(o↓[p]、o↓[n])信号与所述输入BPSK信号的副本进行组合,以产生解调信号(DEMOD)的装置。

3.Verilog核心程序

 
module test_BPSK;
 
 
reg i_clk;
reg i_rst;
reg i_bits;
reg signed[7:0]i_SNR;
wire signed[1:0]o_nz;
wire signed[15:0]o_fir;
wire signed[15:0]o_carrier;
wire signed[31:0]o_mod;
wire signed[15:0]o_modn;
wire signed[15:0]o_carrier_local;
wire signed[31:0]o_dw;
wire signed[31:0]o_demod ;
wire signed[31:0]o_error_num;
wire signed[31:0]o_total_num; 
BPSK uut(
.i_clk(i_clk),
.i_rst(i_rst),
.i_bits(i_bits),
.i_SNR(i_SNR),
.o_nz(o_nz),
.o_fir(o_fir),
.o_carrier(o_carrier),
.o_mod(o_mod),
.o_modn(o_modn),
.o_carrier_local(o_carrier_local),
.o_dw(o_dw),
.o_demod(o_demod),
.o_error_num(o_error_num),
.o_total_num(o_total_num)
);
 
 
 
initial
begin
    i_clk = 1'b1;
    i_rst = 1'b1;
    i_SNR=5;//这个地方可以设置信噪比,数值大小从0~50,
    #1000
    i_rst = 1'b0;
end
initial
begin
    i_bits= 1'b0;
    #1000
    repeat(10000)
    begin
    #2560
    i_bits= 1'b1;
    #2560
    i_bits= 1'b0;
    #2560
    i_bits= 1'b0;
    #5120
    i_bits= 1'b1;
    #640
    i_bits= 1'b1;
    #2560
    i_bits= 1'b0;
    #2560
    i_bits= 1'b1;
    #2560
    i_bits= 1'b1;
    #2560
    i_bits= 1'b0;
    #2560
    i_bits= 1'b0;
    #320
    i_bits= 1'b0;
    #320
    i_bits= 1'b0;
    #320
    i_bits= 1'b1;
    #640
    i_bits= 1'b1;
    #2560
    i_bits= 1'b0;
    #2560
    i_bits= 1'b1;
    #2560
    i_bits= 1'b0;
    end
end
always #5 i_clk=~i_clk;
endmodule
0sj_006m



```
  • 1.
  • 2.
  • 3.
  • 4.
  • 5.
  • 6.
  • 7.
  • 8.
  • 9.
  • 10.
  • 11.
  • 12.
  • 13.
  • 14.
  • 15.
  • 16.
  • 17.
  • 18.
  • 19.
  • 20.
  • 21.
  • 22.
  • 23.
  • 24.
  • 25.
  • 26.
  • 27.
  • 28.
  • 29.
  • 30.
  • 31.
  • 32.
  • 33.
  • 34.
  • 35.
  • 36.
  • 37.
  • 38.
  • 39.
  • 40.
  • 41.
  • 42.
  • 43.
  • 44.
  • 45.
  • 46.
  • 47.
  • 48.
  • 49.
  • 50.
  • 51.
  • 52.
  • 53.
  • 54.
  • 55.
  • 56.
  • 57.
  • 58.
  • 59.
  • 60.
  • 61.
  • 62.
  • 63.
  • 64.
  • 65.
  • 66.
  • 67.
  • 68.
  • 69.
  • 70.
  • 71.
  • 72.
  • 73.
  • 74.
  • 75.
  • 76.
  • 77.
  • 78.
  • 79.
  • 80.
  • 81.
  • 82.
  • 83.
  • 84.
  • 85.
  • 86.
  • 87.
  • 88.
  • 89.
  • 90.
  • 91.
  • 92.
  • 93.
  • 94.