自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(329)
  • 收藏
  • 关注

原创 FPGA JTAG配置接口有什么用

定义:JTAG(Joint Test Action Group,联合测试行动小组)是一种用于测试和调试集成电路的标准接口和协议。它最初由IEEE的1149.1标准定义,后广泛应用于数字电路的测试和调试。基本功能:JTAG接口主要用于芯片级别的测试、调试和故障排除,以及可编程逻辑器件的配置和编程。

2024-10-02 13:27:34 65

原创 DRP动态重构

在FPGA(现场可编程门阵列)配置中,动态重构(Dynamic Reconfiguration,DR)是一项关键技术,它允许在不中断FPGA当前运行的情况下,对其部分或全部逻辑进行重新配置。这种技术极大地提高了FPGA的灵活性和可重用性,使其能够适应不断变化的需求和应用场景。而DRP(Dynamic Reconfiguration Port)则是实现动态重构功能的关键接口。

2024-10-02 12:35:51 163

原创 xilinx进行QSPI配置信号的原理图设计时,为什么通常在CCLK引脚串联一个电阻防止过冲

在Xilinx FPGA进行QSPI配置信号的原理图设计时,在CCLK(配置时钟)引脚串联一个电阻以防止过充的做法,主要是基于信号完整性和保护电路元件的考虑。

2024-10-02 11:44:41 110

原创 Xilinx FPGA从上电到正常工作的过程

Xilinx FPGA从上电到正常工作的过程可以分为以下五个步骤:器件上电、器件初始化、配置模式选择、位流加载、唤醒。

2024-10-02 08:06:25 128

原创 XILINX FPGA从上电开始到可以正常使用,需要检测哪些关键信号

XILINX FPGA从上电开始到可以正常使用,需要检测一系列关键信号,以确保其正确配置和稳定运行。

2024-10-02 08:01:46 379

原创 如何选择管脚是上拉电阻还是下拉电阻

上拉电阻:将一个不确定的信号通过一个电阻连接到电源上,使得信号初始值为高电平。如果当信号线没有被驱动时,要让它的默认状态是高电平,就需要一个上拉电阻来确保信号线的稳定状态。下拉电阻:将一个不确定的信号通过一个电阻连接到地线上,使得信号初始值为低电平。如果当信号线没有被驱动时,要让它的默认状态是低电平,就需要一个下拉电阻来确保信号线的稳定状态。两者共同的作用是避免电压的悬浮,造成电路的不稳定。

2024-10-02 07:29:13 166

原创 CCLK的作用

在FPGA启动阶段的最后一步,配置启动模式时,FPGA会采集配置方式引脚(M3、M2、M1)并同时驱动CCLK输出。

2024-10-01 21:27:11 277

原创 FPGA上电过程

VCCPGM为配置输入buffer供电,不与普通I/O buffers共用,在配置过程中,配置引脚的供电与I/O供电相互独立,VCCPGM为nCE、Config_done、nStatus、nConfig等信号供电。即当VCCIO的 电压小于等于2.5V时,VCCPD必须是2.5V,当VCCIO的电压是3.0V时,VCCPD必须是3.0V或者3.3V,当VCCIO的电压是3.3V时,VCCPD必须是3.3V。(3) 配置过程,将配置信息写入到FPGA中,配置完成后Config_done为高电平。

2024-10-01 20:35:27 588

原创 ALTERA FPGA从上电开始到可以正常使用,需要检测哪些关键信号

ALTERA FPGA从上电开始到可以正常使用,需要检测多个关键信号以确保配置过程的顺利进行。

2024-10-01 20:16:24 366

原创 ALTERA FPGA从上电开始到可以正常使用,需要经过哪些步骤

ALTERA FPGA从上电开始到可以正常使用,需要经过一系列精心设计的步骤。

2024-10-01 20:15:45 314

原创 XILINX FPGA从上电开始到可以正常使用,需要经过哪些步骤

XILINX FPGA从上电开始到可以正常使用,需要经过一系列精心设计的步骤。这些步骤确保了FPGA能够正确初始化、配置并启动运行。

2024-10-01 19:54:30 348

原创 xilinx 7系列FPGA配置模式:MASTER SERIAL ,JTAG,MASTER BPI,MASTER SELECTMAP,MASTER SPI,SLAVE SELECTMAP,SLAVE

Xilinx 7系列FPGA支持多种配置模式,包括MASTER SERIAL、JTAG、MASTER BPI、MASTER SELECTMAP、MASTER SPI、SLAVE SELECTMAP和SLAVE SERIAL等。

2024-10-01 18:25:28 933

原创 管脚验证调试记录

*解决方案:**由于有些管脚分配给了LVDS所在的bank,导致LVDS所在bank的资源比较紧张,1.8v的管脚资源不够,报错。把引起报错的管脚换一个bank绑定即可,同时要注意bank的电压要保持一致,比如使用HPS共享IO资源的话,电平可能要求是1.8V,其余管脚也要设为1.8v。

2024-09-30 18:39:09 769

原创 在quartus进行FPGA设计,如果输入信号没有驱动逻辑,如何防止被优化掉

在Quartus进行FPGA设计时,如果输入信号没有驱动逻辑,确实存在被优化掉的可能性。具体来说,如果输入信号没有连接到任何逻辑单元(如寄存器、组合逻辑等),综合工具(如Quartus的综合器)在综合过程中可能会认为这些信号是多余的,从而将其从最终的设计网表中移除。综上所述,为了防止输入信号在Quartus FPGA设计过程中被优化掉,设计者需要确保信号驱动逻辑、使用约束文件、在代码中使用防止优化属性,并仔细检查综合报告和布局布线结果。这些措施将有助于确保设计的完整性和正确性。

2024-09-29 11:19:18 487

原创 Si5338时钟发生器如何使用

综上所述,通过硬件连接、软件配置和FPGA编程等步骤,可以将Si5338作为FPGA的时钟源。在操作过程中,需要注意电源和接地、去耦电容、布局和布线以及热设计等方面的细节,以确保时钟信号的稳定性和可靠性。首先,需要详细了解Si5338时钟发生器的性能、特点、规格参数以及应用领域。Si5338是一款高性能、低抖动的时钟发生器,能够在设备的四个输出驱动器上合成任意频率,非常适合作为FPGA的时钟源。

2024-09-23 19:53:20 446

原创 altera SOC IO管脚的区别

了解这些I/O配置差异对于SoC设备的设计和实现至关重要,因为它们直接影响到系统的功能、性能和灵活性。在设计过程中,需要仔细考虑每个I/O的用途和需求,以确保资源的最佳利用和系统的最优性能。这种I/O的设计是为了满足特定的硬件需求,确保其功能不会被其他模块或信号干扰。慢速HPS外设信号可以通过FPGA逻辑进行路由,并分配给FPGA I/O。如果HPS没有使用某个特定的I/O,那么FPGA可以借用并使用它。这些I/O主要由硬处理器系统(HPS)使用。这些I/O可以由HPS或FPGA使用。

2024-09-23 14:30:55 343

原创 Quartus常用的文件格式以及作用

Quartus软件在FPGA开发中使用了多种文件格式,每种文件都承担着特定的角色。设计文件用于定义硬件逻辑,存储器初始化文件用于初始化ROM或RAM,配置文件用于设置编译参数和引脚分配,工程文件则是整个工程的框架。在编译过程中和编译结束后,还会生成一系列中间文件和结果文件,用于记录编译状态和生成编程文件。此外,还有其他一些辅助文件用于界面配置和仿真调试。了解这些文件的作用有助于更有效地使用Quartus软件进行FPGA开发。

2024-09-21 06:12:08 411

原创 quartus操作资料整理

官方Quartus® Prime 设计软件支持中心培训:包括IO布局、设计输入、仿真、合成、fitter、时序分析、设计优化、片上调试。quartus时序分析操作教程。

2024-09-21 06:07:49 99

原创 quartus DDR3仿真过程

5、打开生成的example项目,在example工程文件下,选择“Tools -> Tcl Scripts -> generate_sim_verilog_example_design.tcl”或“generate_sim_vhdl_example_design.tcl”,然后点击“Run”,生成相应的Verilog或VHDL仿真文件。6、进入如下路径,运行一下官方example工程,运行的时候,会在verilog路径下再次生成一些文件。8、运行完之后,会在如下工程路径中,生成很多新文件。

2024-09-21 06:02:52 506

原创 Intel Arria 10 Hard Processor System Technical Reference Manual

它不仅能够配置和管理FPGA结构,实现灵活的硬件加速和逻辑处理功能,还能够监控FPGA的状态并确保系统的稳定性。同时,通过信号驱动和采样功能,FPGA管理器还能够实现FPGA与外部设备之间的通信和数据传输,进一步扩展了FPGA的应用领域和潜力。通过信号驱动和采样,FPGA管理器可以实现FPGA与外部世界的交互,从而扩展FPGA的应用范围和功能。这意味着,通过FPGA管理器,用户或系统可以动态地改变FPGA内部的逻辑连接和功能,从而实现不同的硬件加速或逻辑处理任务。FPGA管理器能够监控FPGA的状态。

2024-09-20 17:02:58 1558

原创 为什么DDR4的emif_0_mem_mem_alert_n[0]约束的引脚位置,会影响到emif_0_mem_mem_dqs[0]

如果 emif_0_mem_mem_alert_n[0] 和 emif_0_mem_mem_dqs[0] 被约束到不同的引脚位置,但这两个引脚在物理上相距很远,那么设计工具可能会遇到困难,在尝试同时满足这两个约束的同时,还要保持其他设计规则(如布线长度、信号完整性等)。如果 emif_0_mem_mem_alert_n[0] 和 emif_0_mem_mem_dqs[0] 都受到相同的约束(例如,它们都必须放置在 DQ_GRP 内),那么这两个信号的引脚位置约束就可能会相互影响。

2024-09-20 11:58:12 302

原创 Quartus软件生成的文件格式

此外,Quartus软件还可能生成或涉及其他一些特定于项目或工具的文件格式,如用于版本控制的.qar文件(Quartus Archive File)、用于描述FPGA编程链信息的.cdf文件(Chain Description File)等。SignalTap II File(.stp):SignalTap II是Quartus软件中的一个强大的信号调试工具,.stp文件包含了SignalTap II捕获的信号数据和配置信息,用于在FPGA运行过程中实时调试和验证设计。

2024-09-20 06:56:07 746

原创 CVS UP

CVS UP”通常是在使用CVS(Concurrent Versions System,并行版本控制系统)时执行的一个命令,用于更新本地工作副本中的文件至最新版本。“CVS UP”是CVS版本控制系统中一个非常重要的命令,用于保持本地代码库与远程服务器上的代码库同步。更新文件:当执行cvs up命令时,CVS客户端会与远程服务器通信,检查是否有文件被更新。保持同步:通过定期执行cvs up命令,开发者可以确保他们的本地代码库与远程服务器上的代码库保持同步。A:表示文件是本地新添加的,但尚未提交到服务器。

2024-09-19 17:17:16 244

原创 shell和makefile的关系

而make工具则负责解析Makefile,并根据其中的规则和命令来调用shell执行构建任务。Makefile的设计允许它调用任意的shell命令或脚本,这使得Makefile非常灵活和强大。Makefile中的命令是在shell环境中执行的。这意味着,当make工具调用shell来执行Makefile中的命令时,这些命令会按照shell的语法和规则被执行。/bin/bash(或其他shell解释器路径)开头的,这意味着当执行该脚本时,系统会调用指定的shell解释器来解析和执行脚本中的命令。

2024-09-18 16:12:30 264

原创 SIMULATOR_FLAGS = -fsdb +define+FSDB

在Verilog源代码中,可以使用这个宏来控制某些代码段的编译或执行,例如,可以用来启用或禁用特定的调试输出或测试功能。fsdb文件是一种高效的波形数据库格式,用于存储仿真过程中产生的信号波形信息。综上所述,SIMULATOR_FLAGS 变量的作用是为仿真器提供必要的指令和参数,以便在仿真过程中生成fsdb文件,并在源代码中定义特定的宏,从而实现更灵活和高效的仿真流程。在Makefile或仿真脚本的上下文中,SIMULATOR_FLAGS 变量通常用于存储传递给仿真器的命令行参数和选项。

2024-09-18 15:12:41 325

原创 quartus prime pro21.4 菜单选项含义

Customize。

2024-09-18 06:06:48 1566

原创 altera环境搭建从0-1

使用modelsim仿真时出现“vopt returned success but vsim could not find a design to simulate!Quartus中如何生成Modelsim仿真使用的library 库?如何把quartus编译的库导入到modelsim中。安装和破解Quartus13.0和ModelSim。modelsim卸载:直接删除文件夹。

2024-09-16 22:05:17 1065

原创 DDR4进行数据传输,为什么高电平功耗低

例如,当8bit lane中有至少有5个DQ都是低时,所有的Bit将会被翻转,并且DBI信号置低,用来指示数据线的反转。输出高电平时无电流流动:在POD电平中,当驱动端输出高电平时,由于驱动端和接收端端接电压均为VDDQ(通常为1.2V或更低),因此没有电流流动,从而降低了功耗。这些机制共同作用,使得DDR4在保持高性能的同时,能够实现更低的功耗和更好的能效比。采用先进的电路设计:DDR4采用了更高效的信号传输技术和更先进的电路设计,使得数据在内存与CPU之间的传输更加迅速且功耗更低。

2024-09-15 17:55:56 614

原创 DDR4中的DBI引脚与DBI功能

例如,在一个8bit的通道中,如果原始数据中有5个或更多的信号为低电平,DBI功能会将这些信号翻转,使得至少有5个信号为高电平。DBI引脚与DM(Data Mask,数据掩码)和TDQS(Test Data Strobe,测试数据选通)引脚复用,这意味着在特定情况下(如DM功能被使能时),写操作的DBI功能可能无法被使能。在X8颗粒上,通常会有一个DBI_n脚;由于更少的信号线在切换状态(即更少的“0”到“1”或“1”到“0”的跳变),因此减少了信号线上的噪声和串扰,提高了信号的质量和数据传输的稳定性。

2024-09-15 17:54:36 259

原创 CRC校验(循环冗余校验)与奇偶校验

它通过在数据位后面附加一些校验位(这些校验位是通过数据位与一个预定义的生成多项式进行模2除法得到的余数),来检测数据传输或存储中的错误。它具有较高的检错能力,能够检测出多位错误,并且随着校验位数的增加,其校验能力也会增强。虽然其实现简单,但由于其检错能力有限(只能检测出单个比特的错误,且无法确定错误的具体位置),因此通常用于对可靠性要求不高的场合。CRC校验(循环冗余校验)与奇偶校验是两种在计算机通信和数据存储中常用的数据校验方法,它们在原理、应用及性能上存在显著区别。校验能力强,能够检测出多位错误。

2024-09-15 17:42:51 247

原创 DDR4中的DQS信号

通过合理的设计和布局布线,可以确保DQS信号的稳定传输和数据的准确接收。同步信号:DQS信号是数据的同步信号,用于在时钟周期内准确区分每个传输周期,确保接收方能够准确接收数据。与CK信号的配合:DQS信号与CK(时钟信号)密切配合,共同作为DQ(数据信号)的参考时钟。在PCB设计中,DQS信号需要与CK信号保持等长或满足一定的等长误差范围,以确保数据传输的同步性。DDR4中的DQS(Data Strobe)信号是一个至关重要的信号,它在数据传输过程中起到了同步和指示数据有效性的作用。二、DQS信号的特点。

2024-09-15 17:04:42 395

原创 DDR4为什么要将A2与A10引入到control logic模块呢

综上所述,引入A2与A10地址线给到control logic模块是DDR4内存设计中的一个重要环节,它有助于实现高效的寻址机制、灵活的数据访问控制以及优化的性能表现。控制逻辑的灵活性:通过将A2和A10等地址线引入control logic模块,DDR4内存的设计者能够实现对数据访问过程的精细控制。引入A2与A10地址线给到control logic模块(即控制逻辑模块)在DDR4内存中有着明确的目的和作用,这主要与DDR4的寻址机制、数据访问控制以及性能优化密切相关。二、数据访问控制的优化。

2024-09-15 15:50:57 175

原创 DDR4中,refresh counter为什么要引出来2个2bit的数据,给到BG 和BA控制逻辑

在DDR4内存中,refresh counter(刷新计数器)的设计及其与BG(Bank Group地址线)和BA(Bank地址线)控制逻辑的关系,主要涉及到内存刷新机制的高效实现。这种设计使得刷新操作能够快速地定位到需要刷新的bank group和bank,从而减少了因刷新导致的性能损失。提高刷新效率:通过直接从refresh counter引出2个2bit数据给BG和BA控制逻辑,可以快速地定位到需要刷新的bank group和bank,而无需在每次刷新时都通过复杂的计算来确定。

2024-09-15 15:27:00 229

原创 OFDM相位偏移产生原因

差分检测技术:在OFDM系统中,差分检测技术可以用于对抗相位偏移的影响。综上所述,相位偏移在OFDM系统中是一个常见且重要的问题,其产生原因复杂多样,但通过适当的技术手段可以有效地减小或消除其对系统性能的影响。信道估计与均衡:通过信道估计得到所有子载波的参考相位和幅度,并在接收端进行相应的均衡处理,以补偿信道对信号相位的影响。载波频偏估计与补偿:通过对接收到的OFDM信号的载波频偏进行估计和补偿,使接收端能够正确恢复发送端的数据。

2024-09-13 10:34:19 504

原创 FPGA功耗优化有哪几种方式

FPGA功耗优化是设计中一个重要的环节,尤其在电池供电或资源有限的系统中,降低功耗可以延长系统运行时间和提升效率。

2024-09-13 08:46:42 745

原创 802.11a协议进行分集选择的原因

接收端通过选择信号质量最好的路径或合并多个路径的信号,可以有效对抗信道衰落,提高接收信号的可靠性。通过分集选择,接收端可以利用多个天线接收到的不同信号,通过信号处理算法区分出有用信号和干扰信号,从而有效抑制干扰,提高通信的抗干扰能力。通过分集选择,接收端可以确保在复杂多变的信道环境中仍然能够接收到高质量的信号,从而支持高速数据传输的需求。在IEEE 802.11a协议中,进行分集选择的原因主要是为了对抗无线通信过程中常见的信道衰落和干扰问题,从而提高通信的可靠性和稳定性。

2024-09-13 08:05:52 295

原创 802.11a协议中,什么是分集选择

分集选择是无线通信中的一种常用技术,它通过同时接收多个经过不同路径传输的信号,并选择其中信号质量最好的一个或多个进行后续处理,以此来对抗信道衰落和干扰,提高通信质量。通过多天线接收和分集选择处理,接收端能够选择信号质量最好的信号进行后续处理,从而有效对抗信道衰落和干扰,提高通信质量。合并处理(可选):在某些情况下,接收端可能会选择多个信号质量较好的天线接收到的信号进行合并处理,以提高接收信号的总体质量。最优选择:根据评估结果,接收端会选择信号质量最好的一个或多个天线接收到的信号进行后续处理。

2024-09-13 08:01:47 181

原创 802.11a中为什么要用前导码实现自动增益控制

综上所述,IEEE 802.11a协议中使用前导码实现自动增益控制的原因在于前导码在通信过程中的多重作用,其中自动增益控制是保障通信可靠性和稳定性的重要一环。由于前导码是已知的,接收端可以通过比较接收到的前导码信号强度与预设的参考信号强度,自动调整接收机的增益,以确保后续数据信号的接收质量。在IEEE 802.11a协议中,使用前导码实现自动增益控制(AGC)的原因主要基于前导码在通信过程中的多重作用,其中自动增益控制是其中的重要一环。前导码与AGC的协同工作。自动增益控制(AGC)

2024-09-13 07:56:37 311

原创 802.11a中利用前导码实现自动增益控制的过程

在IEEE 802.11a协议中,利用前导码实现自动增益控制(AGC)是一个关键的过程,它确保了接收端能够自动调整接收信号的增益,以补偿信号在传输过程中因衰减等因素导致的强度变化。

2024-09-13 07:55:57 427

原创 802.11a中利用导频与利用前导码进行信道估计的过程有什么不同

LTS用于初始的快速信道估计,而导频信号则用于后续的信道跟踪和实时更新,以确保数据解调的准确性和系统性能的稳定。LTS虽然提供了信道估计的初始值,但由于其长度有限,可能无法覆盖所有子载波,因此在多径效应严重的环境中,估计精度可能受到一定影响。相比前导码中的LTS,导频信号的使用更加灵活,可以根据具体需求调整导频密度和分布模式,以平衡估计精度和资源利用率。接收端通过接收到的LTS与本地已知的LTS进行比较,利用信道估计算法(如LS、MMSE等)来估计信道的频率响应。一、利用前导码进行信道估计。

2024-09-13 07:24:10 289

时间同步测试111111111111111111

时间同步测试1111111111111111111111

2022-11-03

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除