I always knew I was going to be rich


I always knew I was going to be rich

I always knew I was going to be rich.
I don’t think I ever doubted it for a minute.
Einstein is reputed to have said that
“compound interest is the eighth wonder of the world”.
Or something like that.

And it goes back to that story,
you probably learned when you were in grade school.
Where somebody did something for the king.
And the king said, what can i do for you?
And he said,
Well, lets take a chessboard,
and put one kernel of wheat on the first square,
and then double it on the second, and double it on the third.

And the king readily agreed to it,
and by the time he figured out what two to the 64th amounted to,
he was giving away the entire kingdom.
So it’s a pretty simple concept, but over time,
it accomplishes extraordinary things.


Compound interest

And very early, probably when I was seven or so,
I took this book out of the Bensen Library,
called “A thousand ways to make a $1,000。”
And one of the ways in this book was having penny weighing machines.
I sat and calculated how much it would cost to buy the first weighing machine,
and then how long it would take for the profit from that one to buy another one.
And I would sit there and create these compound interest tables to figure out
how long it would take me to have a weighing machine for every person in the world.
I had everybody in the country weighing themselves ten times a day,
and me just sitting there like John D.Rockefeller of weighing machines.

That allowance when I was a little boy was a nickel a week,
But I liked the idea of having a little more than a nickel a week to work with.
And I went into business very early.
I started sell Coca-Cola door to door.
I sold gum door to door.
I sold “Saturday Evening Post”,“Liberty” Magazine,“Ladies Home Journal”, you name it.
I think I enjoyed the game almost right from the start.
But I like being my own boss.
That’s one thing I liked about delivering papers.
I could arrange the route I wanted.
Nobody was bothering me at 5:00 or 6:00 in the morning.
I was delivering 500 papers a day.
And I made a penny a paper,
but in terms of compounding,
that penny has turned into something else.


Method of learning

Alfred North Whitehead said it one time that
“the rapid advance of civilization came only
when man invented the method of invention”.
And of course he was referring to the huge growth of GDP per capita
and all the other good things that we now take for granted
which started a few hundred years ago and before that all was stasis.
So if civilization can progress only when it invents the method of invention,
you can progress only when you learn the method of learning.

I was very lucky.
I came to law school having learned the method of learning
and nothing has served me better in my long life than continuous learning.
And if you take Warren Buffett and watched him with a time clock,
I would say half of all the time he spends is sitting on his ass and reading.
And a big chunk of the rest of the time is spent talking one on one,
either on the telephone or personally,
with highly gifted people whom he trusts and who trust him.
In other words, it looks quite academic, all this worldly success.


Change mindset change brain

Just the words ‘yet’ or ‘not yet,’ we’re finding,
give kids greater confidence,
give them a path into the future that creates greater persistence.
And we can actually change students’ mindsets.
In one study, we taught them
that every time they push out of their comfort zone to learn something new and difficult,
the neurons in their brain can form new, stronger connections,
and over time they can get smarter.

Look what happened.
In this study, students who were not taught this growth mindset continued
to show declining grades over this difficult school transition,
but those who were taught this lesson showed a sharp rebound in their grades.
We have shown this now,
this kind of improvement,
with thousands and thousands of kids,
especially struggling students.


Grit is the key to success

So I left the classroom,
and I went to graduate school to become a psychologist.
I started studying kids and adults in all kinds of super challenging settings,
and in every study my question was, who is successful here and why?

My research team and I went to West Point Military Academy.
We tried to predict
which cadets would stay in military training and which would drop out.
We went to the National Spelling Bee and tried to predict
which children would advance farthest in competition.
We studied rookie teachers working in really tough neighborhoods,
asking which teachers are still going to be here in teaching by the end of the school year,
and of those,
who will be the most effective at improving learning outcomes for their students?
We partnered with private companies,
asking,
which of these salespeople is going to keep their jobs?
And who’s going to earn the most money?

In all those very different contexts,
one characteristic emerged as a significant predictor of success.
And it wasn’t social intelligence.
It wasn’t good looks, physical health, and it wasn’t I.Q.

It was grit.
Grit is passion and perseverance for very long-term goals.
Grit is having stamina.
Grit is sticking with your future, day in, day out,
not just for the week, not just for the month,
but for years, and working really hard to make that future a reality.
Grit is living life like it’s a marathon, not a sprint.


  • 9
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
好的,我了解到你需要用verilog设计一个I2C到AHB接口模块。下面是一个简单的示例代码: ```verilog module i2c_ahb_interface ( input clk, input reset, input i2c_sda, input i2c_scl, input [31:0] i2c_addr, input [31:0] i2c_data_in, output reg [31:0] i2c_data_out, output reg i2c_ack, output reg ahb_hsel, output reg ahb_htrans, output reg ahb_hwrite, output reg ahb_hrdata, output reg ahb_hready ); // I2C interface signals reg [7:0] i2c_state; reg [7:0] i2c_reg_addr; reg [31:0] i2c_reg_data; reg i2c_rw_bit; reg i2c_req; reg i2c_ack_bit; // AHB interface signals reg [31:0] ahb_addr; reg [31:0] ahb_data_out; reg [31:0] ahb_data_in; reg ahb_sel; reg ahb_trans; reg ahb_write; reg ahb_ready; // Internal registers reg [31:0] reg_data_in; reg [31:0] reg_data_out; reg [31:0] reg_addr; reg ack; // State machine localparam IDLE = 8'h00; localparam START = 8'h01; localparam ADDR = 8'h02; localparam WRITE = 8'h03; localparam READ = 8'h04; always @(posedge clk) begin if (reset) begin i2c_state <= IDLE; i2c_reg_addr <= 0; i2c_reg_data <= 0; i2c_rw_bit <= 0; i2c_req <= 0; i2c_ack_bit <= 0; ahb_addr <= 0; ahb_data_out <= 0; ahb_data_in <= 0; ahb_sel <= 0; ahb_trans <= 0; ahb_write <= 0; ahb_ready <= 0; reg_data_in <= 0; reg_data_out <= 0; reg_addr <= 0; ack <= 0; end else begin case (i2c_state) IDLE: begin if (i2c_req) begin i2c_state <= START; end end START: begin i2c_sda <= 0; i2c_scl <= 0; i2c_state <= ADDR; end ADDR: begin case (i2c_rw_bit) 0: begin // Write i2c_reg_addr <= i2c_addr[7:1]; end 1: begin // Read i2c_reg_addr <= i2c_addr[7:1] | 1; end endcase i2c_sda <= i2c_reg_addr[7]; i2c_scl <= 1; i2c_reg_addr <= i2c_reg_addr << 1; if (i2c_reg_addr == 0) begin i2c_state <= (i2c_rw_bit) ? READ : WRITE; end end WRITE: begin case (i2c_reg_addr) 0: begin reg_addr <= i2c_data_in; i2c_ack_bit <= 1; end 4: begin reg_data_in <= i2c_data_in; i2c_ack_bit <= 1; end endcase i2c_scl <= 0; i2c_sda <= i2c_ack_bit; if (i2c_ack_bit == 0) begin i2c_reg_addr <= i2c_reg_addr + 1; end if (i2c_reg_addr == 8) begin ahb_sel <= 1; ahb_trans <= 1; ahb_write <= 1; ahb_addr <= reg_addr; ahb_data_out <= reg_data_in; i2c_state <= IDLE; i2c_ack_bit <= 0; end end READ: begin case (i2c_reg_addr) 0: begin reg_addr <= i2c_data_in; i2c_ack_bit <= 1; end endcase i2c_scl <= 0; i2c_sda <= i2c_ack_bit; if (i2c_ack_bit == 0) begin i2c_reg_addr <= i2c_reg_addr + 1; end if (i2c_reg_addr == 8) begin ahb_sel <= 1; ahb_trans <= 1; ahb_write <= 0; ahb_addr <= reg_addr; ahb_data_out <= 0; i2c_state <= READ; i2c_ack_bit <= 0; end if (ack) begin i2c_data_out <= ahb_data_in; i2c_ack_bit <= 1; i2c_state <= IDLE; end end endcase end end always @(posedge clk) begin if (reset) begin ahb_hsel <= 0; ahb_htrans <= 0; ahb_hwrite <= 0; ahb_hrdata <= 0; ahb_hready <= 0; end else begin ahb_hsel <= ahb_sel; ahb_htrans <= ahb_trans; ahb_hwrite <= ahb_write; ahb_hrdata <= ahb_data_in; ahb_ready <= 1; if (ahb_sel && ahb_trans && !ahb_write) begin ack <= 1; end end end endmodule ``` 请注意,这只是一个简单的示例代码,仅供参考。实际的设计可能需要更多的信号和状态机来支持更复杂的操作。同时,还需要根据具体的I2C和AHB规范进行设计。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值