与或非逻辑电路源代码

#逻辑电路源代码
class LogicGate(object):
    def __init__(self,n):
        self.label=n
        self.output=None
    def getlabel(self):
        return (self.label)
    def getoutput(self):
        self.output=self.PerformGatelogic()#逻辑电路结果暂时代替
        return self.output
class BinaryGate(LogicGate):
    def __init__(self,n):
        LogicGate.__init__(self,n)
        self.pinA=None
        self.pinB=None
    def getpinA(self):
        return int(input("Enter pinA for gate"+self.getlabel()+'---->>>'))
    def getpinB(self):
        return eval(input("Enter pinB for gate"+self.getlabel()+'---->>>'))
class UnaryGate(LogicGate):
    def __init__(self,n):
        LogicGate.__init__(self,n)
        self.pin=None
    def getpin(self):
        return int(input("Enter pin for gate"+self.getlabel()+'------>>>>>'))
class AndGate(BinaryGate):
    def __init__(self,n)
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
user ....\Circuit1.msm ....\DC_Sweep仿真.msm ....\JK触发器.msm ....\led.msm ....\RC积分电路分析.msm ....\TL431.msm ....\TL431仿真.msm ....\三极管共射极放大电路.msm ....\三极管输出特性.msm ....\两级共射-共射放大电路失真分析.msm ....\串联稳压电路.msm ....\串联谐振电路分析.msm ....\互易电路.msm ....\互易电路2.msm ....\共基极放大电路的网络分析.msm ....\单机共射放大电路.msm ....\并联电压负反馈电路.msm ....\微分和积分电路.msm ....\恒流源差分放大电路×共模特性测试电路.msm ....\戴维南验证.msm ....\放大电路频谱测量.msm ....\数字逻辑电路 ....\............\74LS138D译码器组一位全加器.msm ....\............\74LS138D-全加器.msm ....\............\ECL门电路.msm ....\............\JK触发器.msm ....\............\rgy灯报警器.msm ....\............\TTL反相器的基本电路.msm ....\............\TTL或非门.msm ....\............\一位8421BCD全加法器电路.msm ....\............\十进制计数器.msm ....\............\数字逻辑电路与逻辑转换仪图标的连接.msm ....\............\数字钟原理图.msm ....\............\沿边D触发器.msm ....\............\真值表.msm ....\整流电路.msm ....\极间电容对放大器频率特性的影响.msm ....\桥式整流滤波电路.ms7 ....\桥式整流滤波电路.msm ....\正弦波振荡电路‘.msm ....\波特图仪与共射放大电路的连接.msm ....\瓦特表使用.msm ....\电容充放电.ms7 ....\电容充放电.msm ....\电容充放电2.msm ....\电感充放电.msm ....\示波器使用.msm ....\简单晶体管放大电路.msm ....\非周期性电流电路.msm ....\非正弦波产生电路.msm

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值