systemc入门1

  1. 程序主体
    1. 头文件
    2. SC_MODULE(){}
      1. 输入输出port:输出输出类型和位宽
      2. 功能函数:可综合部分主题
      3. 构造函数
        1. 线程类型,SC_METHOD, SC_THREAD, SC_CTHREAD;
        2. 敏感列表,CLK,signal

 

  1. Threads
    1. 并行,对信号、时钟边沿和固定的时间量
    2. 不被用户调用,一直处于活动状态
    3. 三种类型thread, SC_METHOD(),SC_THREAD()和SC_CTHREAD();
  2. SC_METHOD()
    1. 被敏感时间触发执行一次
    2. 连续运行(串行?)
    3. 类似于always@ 块
    4. 可综合 
      • 适用于组合逻辑和简单的顺序逻辑,可以在一个时钟周期完成任务
      • 不占用太多线程
  3. SC_THREAD()
    1. 在模拟开始的时候执行且只执行一次,然后完成之后暂停
    2. 可以包含无线循环,在固定时间速率执行代码
    3. 类似与initial模块
    4. 不可综合
      1. 用于测试平台中描述时钟或者初始化信号序列
  4. SC_CTHREAD()
    1. 意味着“clock thread"
    2. 连续
    3. 只对时钟边缘敏感
    4. 可综合
    5. 可以用一个或者多个时钟周期来执行循环
    6. 在99%的高层次行为设计中使用
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值